EnglischFranzösischSpanisch

Ad


OnWorks-Favicon

abgedeckt - Online in der Cloud

Läuft im Rahmen des kostenlosen Hosting-Anbieters OnWorks über Ubuntu Online, Fedora Online, den Windows-Online-Emulator oder den MAC OS-Online-Emulator

Hierbei handelt es sich um den behandelten Befehl, der beim kostenlosen Hosting-Anbieter OnWorks mit einer unserer zahlreichen kostenlosen Online-Workstations wie Ubuntu Online, Fedora Online, dem Windows-Online-Emulator oder dem MAC OS-Online-Emulator ausgeführt werden kann

PROGRAMM:

NAME/FUNKTION


Abgedeckt – Verilog Code Coverage Analyzer

SYNTAX


bedeckt [globale_optionen] Ergebnis [Optionen]
bedeckt [globale_optionen] fusionieren [Optionen] bestehende_Datenbank Datenbank_zu_zusammenführen+
bedeckt [globale_optionen] berichten [Optionen] Datenbankdatei
bedeckt [globale_optionen] Rang [Optionen] Datenbank_zu_Rang Datenbank_zu_Rang+
bedeckt [globale_optionen] ausschließen [Optionen] Ausschluss_ID+ Datenbankdatei

BESCHREIBUNG


Bedeckt ist ein Verilog-Tool zur Analyse der Codeabdeckung, das hilfreich sein kann, um zu bestimmen, wie
Nun, eine Diagnosetestsuite deckt das zu testende Design ab. Covered liest in der
Verilog-Designdateien und eine VCD-, LXT2- oder FST-formatierte Dumpdatei aus einem Diagnoselauf und
generiert eine Datenbankdatei namens Coverage Description Database (CDD)-Datei unter Verwendung von
Score-Befehl. Alternativ kann der Score-Befehl von Covered zum Generieren einer CDD-Datei verwendet werden
und ein Verilog-Modul zur Verwendung von Covered als VPI-Modul in einer Testbench, die erhältlich ist
Abdeckungsinformationen parallel zur Simulation (siehe VERWENDUNG BEDECKT AS A VPI MODULE). Die
Die resultierende CDD-Datei kann mit anderen CDD-Dateien desselben Designs zusammengeführt werden, um sie zu erstellen
kumulierte Abdeckung mithilfe des Merge-Befehls. Sobald eine CDD-Datei erstellt wurde, kann der Benutzer
Verwenden Sie Covered, um verschiedene für Menschen lesbare Abdeckungsberichte im ASCII-Format zu erstellen oder zu verwenden
Covereds GUI zum interaktiven Anzeigen der Coverage-Ergebnisse mithilfe des Berichtsbefehls. Wenn
Werden nicht abgedeckte Versorgungspunkte gefunden, die der Nutzer von der Versorgung ausschließen möchte, kann dies geschehen
kann entweder mit dem Befehlszeilen-Ausschlussbefehl oder innerhalb der GUI behandelt werden. Wenn mehrere
CDD-Dateien werden aus dem gleichen Design erstellt, der Benutzer kann ein Abdeckungsranking erhalten
Verwenden Sie diese CDD-Dateien, um eine ideale Reihenfolge für Regressionstests zu ermitteln und zu verstehen
welche CDD-Dateien von Regressionen ausgeschlossen werden können, da sie keine neuen Treffer erzielen können
Abdeckungspunkte. Darüber hinaus gilt als Teil des Score-Befehls von Covered die Rennbedingung
Möglichkeiten finden sich in den Designdateien und können entweder ignoriert oder als Warnungen gekennzeichnet werden
oder als Fehler gekennzeichnet. Durch die Angabe von Race Conditions als Fehler kann auch Covered verwendet werden
als Race-Condition-Checker.

GLOBAL OPTIONAL


Diese Optionen werden unmittelbar nach dem Schlüsselwort platziert bedeckt in der Befehlszeile. Sie
kann für jeden Befehl verwendet werden (mit Ausnahme von -v und -h) und haben den gleichen Effekt in
jeder Fall.

-B Verschleiern. Verschleiert alle designempfindlichen Namen vor der Ausgabe
Vom Benutzer lesbares Format. Diese Option ist nützlich, wenn Sie die Ausgabe mit dem teilen
Entwickler von Covered für Debugging-Zwecke.

-D Debuggen. Zeigt hilfreiche Informationen zum Debuggen von Werkzeugproblemen an. Hinweis: Dies
Die Option ist jetzt nur verfügbar, wenn Covered mit --enable-debug erstellt wird
Konfigurationsoption.

-h Hilfe. Zeigen Sie diese Nutzungsinformationen an.

-P [Dateinamen]
Profilierungsmodus. Aktiviert den internen Quellcode-Profiler, der eine erstellt
Profilerstellungsbericht des Ausführungsbefehls an einen der angegebenen Dateinamen oder wenn nein
Dateinamen vorhanden ist, in eine Datei namens abgedeckt.prof. Diese Option ist nur verfügbar
wenn die --enable-profiling Die Konfigurationsoption wurde angegeben, als Covered war
gebaut.

-Q Ruhemodus. Bewirkt, dass alle Ausgaben unterdrückt werden.

-T Knapper Modus. Bewirkt, dass alle Ausgaben mit Ausnahme der Warnung unterdrückt werden
Nachrichten und die Covered-Header-Informationen.

-v Ausführung. Aktuelle abgedeckte Version anzeigen.

BEFEHLE


Ergebnis Analysiert Verilog-Dateien und VCD/LXT2/FST-Dumpdateien, um eine Datenbankdatei zu erstellen, die verwendet wird
Zusammenführung und Berichterstattung.

fusionieren Führt zwei oder mehr Datenbankdateien zu einer zusammen.

berichten Erstellt menschenlesbare Abdeckungsberichte aus der Datenbankdatei oder startet die Abdeckung
Berichts-GUI.

Rang Erstellt einen Bericht, der eine ideale Reihenfolge für die Ausführung von Regressionen angibt und spezifiziert
CDD-Dateien, die keine neuen Abdeckungsinformationen hinzufügen (und daher ausgeschlossen werden können).
aus Regressionen, falls gewünscht).

ausschließen
Ermöglicht einen oder mehrere Abdeckungspunkte (identifiziert durch Ausschluss-IDs in einem Bericht).
mit der Option -x generiert), damit ihre Ausschlusseigenschaft umgeschaltet wird (include auf).
ausschließen oder ausschließen, um einzuschließen) und, wenn die Ausschlusseigenschaft auf „ausschließen“ festgelegt ist,
Optional kann ein Grund für den Ausschluss damit verknüpft und gespeichert werden
die angegebene CDD-Datei.

SCORE COMMAND


Die folgenden Optionen sind für den Score-Befehl gültig:

-A ovl Bewirkt, dass OVL-Assertionen für die Assertionsabdeckung verwendet werden. Dieses Flag muss angegeben werden
zum Befehl „score“, wenn im Bericht Metriken zur Assertionsabdeckung benötigt werden
Befehl.

-cdd Datenbank
Identisch mit der Option -o. Nützlich, wenn die zu bewertende CDD-Datei eine Eingabe für die Bewertung ist
Befehl.

-cli [Dateinamen]
Bewirkt, dass der Befehlszeilen-Debugger während der VCD/LXT2/FST-Dumpfile-Bewertung verwendet wird.
If Dateinamen angegeben ist, enthält diese Datei Informationen, die in einem vorherigen Aufruf gespeichert wurden
zu savehist auf der CLI und bewirkt, dass der in dieser Datei enthaltene Verlauf wiedergegeben wird
vor der CLI-Eingabeaufforderung. Wenn Dateinamen nicht angegeben ist, wird die CLI-Eingabeaufforderung dies tun
zu Beginn der Simulation sofort verfügbar sein. Diese Option ist nur verfügbar
wenn Covered mit konfiguriert ist --enable-debug .

-konservativ
Wenn diese Option angegeben ist, werden alle Logikblöcke angezeigt, die Code enthalten, der dazu führen könnte
Abdeckungsdiskrepanzen, die zu möglicherweise ungenauen Abdeckungsergebnissen führen können
aus der Deckungsbetrachtung entfernt. Weitere Informationen dazu finden Sie im Benutzerhandbuch
Die Art des Codes kann zu Ungenauigkeiten in der Abdeckung führen.

-dumpvars [Dateinamen]
Wenn diese Option ohne die Optionen -vcd oder -lxt angegeben wird, wird das Design analysiert.
Es wird eine CDD-Datei erstellt und eine Verilog-Moduldatei der obersten Ebene benannt Dateinamen (Wenn dies
Wert angegeben ist) oder „covered_dump.v“ (falls Dateinamen ist nicht angegeben) wird erstellt.
Diese Datei wird bei der Kompilierung des Simulators verwendet, um eine Dump-Datei zu erstellen
optimiert, um Abdeckung für die angegebene CDD-Datei zu erhalten. Wenn entweder -vcd oder
-lxt-Optionen angegeben sind, hat diese Option keine Auswirkung. Weitere Informationen finden Sie im Benutzerhandbuch
Weitere Informationen zur Verwendung dieser Option.

-D definiere_name
Definiert den angegebenen Namen auf 1.

-D define_name=Wert
Definiert den angegebenen Namen auf den angegebenen Wert.

-e Blockname
Name des Moduls, der Aufgabe, der Funktion oder des benannten Anfangs-/Endblocks, der nicht bewertet werden soll. Verursacht alles
Unterblöcke im Verilog-Baum unter diesem Block werden ebenfalls nicht bewertet.

-ea Schließt alle Always-Blöcke von der Berücksichtigung für die Abdeckung aus.

-ec Schließt alle fortlaufenden Einsätze von der Berücksichtigung für die Deckung aus.

-ei Schließt alle anfänglichen Blöcke von der Berücksichtigung für die Deckung aus.

-wenn Schließt alle Endblöcke von der Berücksichtigung für die Deckung aus.

-ep [Name]
Schließt den gesamten Code aus, der zwischen den Pragmas „// Coverage Off“ und „// Coverage On“ gefunden wird
in das Design eingebettet. Wenn Name angegeben ist, wird das Schlüsselwort im Pragma verwendet
in diesen Namen anstelle des Standardnamens „Abdeckung“ geändert werden, sodass der Benutzer dies tun kann
Ändern Sie das Aussehen des Pragmas, wenn es mit anderen Tools in Konflikt steht.

-F module_name=[in_expr,]out_expr
Zeigt dem Parser an, wo sich das FSM im Modul befindet Modulname welche
hat einen Eingabezustandsausdruck namens in_expr und Ausgabezustandsausdruck aufgerufen
out_expr. Wenn in_expr ist nicht angegeben, out_expr wird sowohl als Eingabe als auch verwendet
Ausgabezustandsausdruck.

-f Dateinamen
Name der Datei, die zusätzliche Argumente zum Parsen enthält.

-fst Dateinamen
Name der FST-Dumpdatei, mit der das Design bewertet werden soll. Wenn -vcd, -lxt oder diese Option nicht vorhanden ist
Wenn Covered verwendet wird, erstellt Covered nur eine anfängliche CDD-Datei aus dem Design, nicht jedoch
Versuchen Sie, das Design zu punkten.

-g [Modulname=](1|2|3)
Ermöglicht dem Benutzer, den Parser auf eine bestimmte Generation von Verilog zu beschränken
Standard für ein bestimmtes Modul oder das gesamte Design, wobei 1=Verilog-1995,
2=Verilog-2001, 3=SystemVerilog. Wenn module_name= angegeben ist, verwendet der Parser die
Der Verilog-Standard wurde nur für dieses Modul festgelegt, sodass der Rest des Designs dies tun konnte
Verwenden Sie den globalen Standard. Standardmäßig ist der globale Standard auf den neuesten Stand eingestellt
Version (3). Diese Option kann für einen bestimmten Aufruf mehrmals angegeben werden
Punktebefehl; Wenn die Option -g jedoch mehr als den globalen Wert angibt (d. h.
ohne das module= Präfix) wird nur der letzte Optionswert verwendet.

-h Zeigt diese Hilfeinformationen an.

-I Verzeichnis
Verzeichnis zum Auffinden der enthaltenen Verilog-Dateien.

-i Instanzname
Verilog hierarchischer Verweis auf das Modul, das sich an der Spitze des Baums befindet
gepunktet. Diese Option ist erforderlich, wenn sich das Modul zur Überprüfung der Abdeckung nicht auf der obersten Ebene befindet
Modul im Design. Falls nicht angegeben, -t Wert wird verwendet.

-lxt Dateinamen
Name der LXT2-Dumpdatei, mit der das Design bewertet werden soll. Wenn -vcd, -fst oder diese Option nicht vorhanden ist
Wenn Covered verwendet wird, erstellt Covered nur eine anfängliche CDD-Datei aus dem Design, nicht jedoch
Versuchen Sie, das Design zu punkten.

-m Nachricht
Ermöglicht dem Benutzer, Informationen zu dieser CDD-Datei anzugeben. Diese Informationen können
alles sein (Nachrichten mit Leerzeichen sollten in doppelte Anführungszeichen gesetzt werden).
Markierungen), kann aber auch etwas über die Simulationsargumente enthalten, um es einfacher zu machen
Verknüpfen Sie die CDD-Datei mit ihrer Simulation, um die CDD-Datei neu zu erstellen.

-o Datenbank
Name der Datenbank, in die Abdeckungsinformationen geschrieben werden sollen. Wenn nicht angegeben, die Ausgabe
Der Dateiname der Datenbank lautet „cov.cdd“.

-p Dateinamen
Überschreibt den Standarddateinamen, der zum Speichern der Zwischenausgabe des Präprozessors verwendet wird.

-P parameter_scope=value
Führt einen Defparam für den angegebenen Parameter mit Wert durch.

-rS Wenn Race-Condition-Prüfungen verletzt werden, werden die fehlerhaften Logikblöcke entfernt
aus der Abdeckungsbetrachtung und alle Ausgaben bezüglich des Rennens werden unterdrückt
Bedingungsverletzung. Weitere Informationen zum Rennen finden Sie in der Benutzerdokumentation
Zustandsüberprüfung der Verwendung.

-rW Wenn Race-Condition-Prüfungen verletzt werden, werden die fehlerhaften Logikblöcke entfernt
aus der Coverage-Betrachtung ermittelt und die Race-Condition-Verletzung ausgegeben. Das ist
das Standardverhalten für die Behandlung von Rennbedingungen. Weitere Informationen finden Sie in der Benutzerdokumentation
Informationen zur Verwendung der Rennbedingungsprüfung.

-betreffend Wenn Race-Condition-Prüfungen verletzt werden, wird der Grund ausgegeben und die Bewertung endet
sofort. Weitere Informationen zur Race-Bedingung finden Sie in der Benutzerdokumentation
Überprüfung der Nutzung.

-rI[=Modulen Name]
If Modulen Name nicht angegeben ist, wird die Überprüfung der Rennbedingungen insgesamt übersprungen
das gesamte Design. Wenn Modulen Name angegeben ist, wird die Race-Condition-Prüfung übersprungen
für das angegebene Modul. Weitere Informationen zum Rennen finden Sie in der Benutzerdokumentation
Zustandsüberprüfung der Verwendung.

-rP[=Name])
Verwendet eingebettete Pragmas, um bestimmten Code bei der Überprüfung der Rennbedingungen zu ignorieren
Gegenleistung (ggf Name angegeben wird, wird es als Pragma-Schlüsselwort verwendet). Siehe Benutzer
Weitere Informationen zur Verwendung der Race-Condition-Prüfung finden Sie in der Dokumentation.

-S Gibt Simulationsstatistiken aus, nachdem die Simulation abgeschlossen ist. Diese Informationen sind
derzeit nur für die Entwickler von Covered nützlich.

-t Top-Level Modulen
Gibt den Modulnamen des obersten Moduls an, das gemessen wird. Beachten Sie, dass
Dieses Modul muss nicht das oberste Modul im Simulator sein. Dieses Feld
ist für alle Aufrufe des Score-Befehls erforderlich.

-top_ts Zeitplan
Diese Option ist nur gültig, wenn die Optionen -vpi oder -dumpvars angegeben wurden.
Mit dieser Option kann der Benutzer einen Zeitrahmen für das generierte Verilog-Modul angeben
erstellt mit der Option -vpi/-dumpvars. Wenn diese Option nicht angegeben ist, nein
Für das generierte Modul wird eine Zeitskala erstellt. Der Wert von Zeitplan is
wie folgt angegeben:

(1|10|100)(s|ms|us|ns|ps|fs)/(1|10|100)(s|ms|us|ns|ps|fs)

Wenn zwischen den verschiedenen Werten Leerzeichen erforderlich sind, platzieren Sie den gesamten Inhalt von
Zeitplan in doppelten Anführungszeichen.

-ts Anzahl
Wenn eine Wertung erfolgt, kann der Benutzer mit dieser Option sehen, wie weit der Simulator gekommen ist
Fortschritt durch Ausgabe des aktuellen Zeitschritts an die Standardausgabe. Der Wert von
Anzahl Gibt an, wie viele Zeitschritte vor der Ausgabe simuliert werden dürfen
der aktuelle Zeitschritt (führt zu weniger Aufrufen des Ausgabestreams).

-T (min|typ|max)
Gibt an, welcher Wert verwendet werden soll, wenn ein Verzögerungsausdruck im Formular auftritt:
min:typ:max. Wenn diese Option nicht angegeben ist, wird standardmäßig „typ“ select verwendet.

-v Dateinamen
Name der bestimmten Verilog-Datei, die bewertet werden soll.

-vcd Dateinamen
Name der VCD-Dumpdatei, mit der das Design bewertet werden soll. Wenn -lxt, -fst oder diese Option nicht vorhanden ist
Wenn Covered verwendet wird, erstellt Covered nur eine anfängliche CDD-Datei aus dem Design, nicht jedoch
Versuchen Sie, das Design zu punkten.

-vpi [Dateinamen]
Wenn diese Option ohne die Optionen -vcd, -lxt oder -fst angegeben wird, gilt das Design
Beim Parsen wird eine CDD-Datei erstellt und eine Verilog-Moduldatei der obersten Ebene benannt Dateinamen
(wenn dieser Wert angegeben ist) oder „covered_vpi.v“ (wenn Dateinamen ist nicht angegeben) ist
zusammen mit einer PLI-Tabellendatei namens erstellt Dateinamen.ta b oder „covered_vpi.v.ta b“.
Beide Dateien werden bei der Kompilierung des Simulators verwendet, um Covered als zu verwenden
ein VPI-Modul. Wenn eine der Optionen -vcd, -lxt oder -fst angegeben ist, ist diese Option
hat keine Wirkung.

-Wignore
Unterdrücken Sie die Ausgabe von Warnungen während der Code-Analyse und -Simulation.

-y Verzeichnis
Verzeichnis zum Auffinden nicht spezifizierter Verilog-Dateien.

+libex+.Erweiterung[+.Erweiterung]*+
Erweiterungen von Verilog-Dateien, um das Scoring zu ermöglichen.

MERGE COMMAND


Für den Merge-Befehl gelten folgende Optionen:

-d Dateinamen
Verzeichnis, in dem nach einzubindenden CDD-Dateien gesucht werden soll. Diese Option wird in Verbindung verwendet
an. Nach der Installation können Sie HEIC-Dateien mit der -ext Option, die die Dateierweiterung angibt, die zur Bestimmung verwendet werden soll
welche Dateien im Verzeichnis CDD-Dateien sind.

-R (zuerst|letzte|alle|neu|alt)
Gibt an, wie mit der Auflösung von Ausschlussgründen umgegangen wird. Wenn zwei oder mehr CDD-Dateien
Bei der Zusammenführung wurden Ausschlussgründe für denselben Versorgungspunkt angegeben
Der Ausschlussgrund muss aufgelöst werden (es sei denn, es handelt sich um denselben Zeichenfolgenwert). Wenn
Wenn diese Option nicht angegeben ist und ein Konflikt gefunden wird, wird dies interaktiv abgedeckt
Fordern Sie für jeden Ausschluss Eingaben dazu an, wie damit umgegangen werden soll. Wenn diese Option ist
angegeben, teilt es Covered mit, wie alle Ausschlussgrundkonflikte behandelt werden sollen. Der
Die Werte lauten wie folgt:.br

zuerst - Es wird die CDD-Datei verwendet, die den ersten Ausschlussgrund enthielt.

letzte - Es wird die CDD-Datei verwendet, die den letzten Ausschlussgrund enthielt.

alle - Alle Ausschlussgründe werden verwendet (verkettet).

neu - Verwenden Sie den neuesten angegebenen Ausschlussgrund.

alt - Verwenden Sie den ältesten angegebenen Ausschlussgrund.

-ext Erweiterung
Wird in Verbindung mit dem -d Möglichkeit. Wenn nein -ext Optionen sind auf der angegeben
In der Befehlszeile wird der Standardwert „.cdd“ verwendet. Beachten Sie, dass ein Punkt (.) sein sollte
angegeben werden.

-f Dateinamen
Name der Datei, die zusätzliche Argumente zum Parsen enthält.

-h Zeigt diese Hilfeinformationen an.

-m Nachricht
Ermöglicht dem Benutzer, Informationen zu dieser CDD-Datei anzugeben. Diese Informationen können
alles sein (Nachrichten mit Leerzeichen sollten in doppelte Anführungszeichen gesetzt werden).
Marken).

-o Dateinamen
Datei, in die die neue Datenbank ausgegeben werden soll. Wenn dieses Argument nicht angegeben ist, wird die
bestehende_Datenbank wird als Ausgabedatenbankname verwendet.

BERICHT COMMAND


Die folgenden Optionen sind mit dem Report-Befehl gültig:

-b Wenn kombinatorische Logik eine ausführliche Ausgabe meldet und der Ausdruck ein Vektor ist
Bei diesem Vorgang gibt diese Option die Abdeckungsinformationen bitweise aus.

-c If -v angegeben ist, werden nur abgedeckte Metriken angezeigt. Standardmäßig wird die Anzeige unbedeckt angezeigt
nur Informationen.

-d (s|d|v)
Detaillierungsgrad für die Bereitstellung von Informationen im Abdeckungsbericht (s = Zusammenfassung, d =
detailliert, v = ausführlich). Die Standardeinstellung ist Zusammenfassung.

-e Gibt alle ausgeschlossenen Abdeckungspunkte zusammen mit allen angegebenen in die Berichtsdatei aus
Ausschlussgründe, wenn die -d d or -d v Optionen angegeben sind.

-f Dateinamen
Name der Datei, die zusätzliche Argumente zum Parsen enthält.

-h Zeigt diese Hilfeinformationen an.

-i Stellt Abdeckungsinformationen für Instanzen anstelle von Modulen bereit.

-m [l][t][c][f][r][a][m]
Art(en) der zu meldenden Metriken. l=Leitung, t=Umschalten, c=Kombinationslogik, f=FSM-Zustand
und Zustandsübergang, r=Rennbedingungen, a=Behauptung, m=Speicher. Standard ist ltcf.

-o Dateinamen
Datei, in die Berichtsinformationen ausgegeben werden sollen. Standard ist die Standardausgabe.

-s Unterdrückt die Ausgabe von Modulen/Instanzen, die keine Abdeckungsinformationen enthalten
zum Bericht. Wird verwendet, um potenziell bedeutungslose Informationen aus dem zu entfernen
berichten.

-v Veraltet. Ersetzt durch „-dd“ oder „-d v“.

-Aussicht Startet die GUI-Schnittstelle für interaktive Abdeckungsberichte.

-w (Anzahl)
Gibt die maximale Zeilenbreite (in Zeichen) an, die zur Ausgabe von Verilog verwendet werden kann
Information. Wenn diese Option nicht angegeben ist, wird der gesamte Verilog-Code im Bericht verwendet
Behalten Sie die gleiche Formatierung bei, die im ursprünglichen Verilog-Code angegeben wurde. Wenn dies
Wenn die Option angegeben ist, wird der Verilog-Code so formatiert, dass möglichst viel vom aktuellen verwendet wird
Zeile so weit wie möglich ein und umbricht den Text, wenn die Zeile die maximale Zeilenbreite erreicht. Der
Die standardmäßige maximale Zeilenbreite beträgt 115 Zeichen (dieser Wert wird verwendet, wenn keine Zahl angegeben ist).
wird mit der Option -w angegeben). Wenn mit der Option -w eine Zahl angegeben wird, ist dies
Der Wert wird für die maximale Linienbreite verwendet.

-x Gibt die Ausschluss-IDs aller darin enthaltenen nicht abgedeckten und ausgeschlossenen Abdeckungspunkte aus
Klammer vor der zugehörigen ausführlichen Ausgabe des Abdeckungspunkts. Der
Ausschluss-IDs können verwendet werden, um Abdeckungspunkte über den Ausschluss auszuschließen/einzuschließen
Befehl.

RANK COMMAND


Die folgenden Optionen sind mit dem Rang-Befehl gültig:

-d Dateinamen
Verzeichnis, in dem nach einzubindenden CDD-Dateien gesucht werden soll. Diese Option wird in Verbindung verwendet
an. Nach der Installation können Sie HEIC-Dateien mit der -ext Option, die die Dateierweiterung angibt, die zur Bestimmung verwendet werden soll
welche Dateien im Verzeichnis CDD-Dateien sind.

-Tiefe Anzahl
Gibt die Mindestanzahl erforderlicher CDD-Dateien an, um jeden Abdeckungspunkt zu erreichen. Der
Wert von Anzahl sollte größer als Null sein. Der Standardwert ist 1.

-ext Erweiterung
Wird in Verbindung mit dem -d Möglichkeit. Wenn nein -ext Optionen sind auf der angegeben
In der Befehlszeile wird der Standardwert „.cdd“ verwendet. Beachten Sie, dass ein Punkt (.) sein sollte
angegeben werden.

-f Dateinamen
Name der Datei, die zusätzliche Argumente zum Parsen enthält.

-h Zeigt Hilfeinformationen für den Rangbefehl an.

-Nur Namen
Wenn angegeben, werden nur die erforderlichen CDD-Dateinamen ausgegeben, die im ausgeführt werden müssen
Reihenfolge, in der sie ausgeführt werden müssen. Wenn diese Option nicht festgelegt ist, erfolgt eine Ausgabe im Berichtsstil
mit zusätzlichen Informationen versehen. Diese Option soll in Skripten nützlich sein
die nur CDD-Dateinamen als Ausgabe ausführen möchten.

-o Dateinamen
Name der Datei, in die Ranking-Informationen ausgegeben werden sollen. Standard ist die Standardausgabe.

-required-cdd Dateinamen
Name der CDD, die als erforderliche CDD für die Rangfolge betrachtet werden sollte (d. h. sie kann nicht sein).
aus irgendeinem Grund ausgeschlossen).

-erforderliche-Liste Dateinamen
Name einer Datei, die eine Liste von CDDs enthält, die als erforderliche CDDs gelten sollten
rangieren. Die Dateinamen sollten durch Leerzeichen oder Zeilenumbrüche getrennt werden
innerhalb der Datei.

-v Bewirkt, dass eine ausführliche Ausgabe angezeigt wird, wenn der Rangbefehl ausgeführt wird. Es gibt aus
Diagnoseinformationen zu jeder der verschiedenen Phasen des Ranking-Algorithmus
einschließlich Laufzeit, Anzahl der enthaltenen/ausgeschlossenen CDD-Dateien und Anzahl der Abdeckungen
Punkte, die von Ranglisten-CDDs in jeder Phase erreicht werden. Diese Informationen sollen sein
nützlich für diejenigen, die sich für den Ranking-Algorithmus und seine Leistung interessieren.

-Gewichtsbehauptung Anzahl
Gibt eine relative Gewichtung für die Assertionsabdeckung an, die zur Einstufung von Nichteindeutigkeiten verwendet wird
Abdeckungspunkte. Der Wert von Anzahl ist relativ zu den in der verwendeten Werte
-Gewicht umschalten, -Gewichtsspeicher, -Gewichtskamm, -weight-fsm und -Gewichtslinie Rang
Befehlsoptionen.

-Gewichtskamm Anzahl
Gibt eine relative Gewichtung für die kombinatorische Logikabdeckung an, die für die Rangfolge verwendet wird
nicht eindeutige Abdeckungspunkte. Der Wert von Anzahl ist relativ zu den in verwendeten Werten
-Gewicht umschalten, -Gewichtsspeicher, -Gewichtsbehauptung, -weight-fsm und -Gewichtslinie
Rangbefehlsoptionen.

-weight-fsm Anzahl
Gibt eine relative Gewichtung für die FSM-Zustands- und Zustandsübergangsabdeckung an
Rang nicht eindeutiger Abdeckungspunkte. Der Wert von Anzahl ist relativ zu den Werten
Verwendet in der -Gewicht umschalten, -Gewichtsspeicher, -Gewichtskamm, -Gewichtsbehauptung und
-Gewichtslinie Rangbefehlsoptionen.

-Gewichtslinie Anzahl
Gibt eine relative Gewichtung für die Linienabdeckung an, die zur Einstufung der nicht eindeutigen Abdeckung verwendet wird
Punkte. Der Wert von Anzahl ist relativ zu den in der verwendeten Werte -Gewicht umschalten,
-Gewichtsspeicher, -Gewichtskamm, -weight-fsm und -Gewichtsbehauptung Rangbefehlsoptionen.

-Gewichtsspeicher Anzahl
Gibt eine relative Gewichtung für die Speicherabdeckung an, die zur Einstufung der nicht eindeutigen Abdeckung verwendet wird
Punkte. Der Wert von Anzahl ist relativ zu den in der verwendeten Werte -Gewicht umschalten,
-Gewichtslinie, -Gewichtskamm, -weight-fsm und -Gewichtsbehauptung Rangbefehlsoptionen.

-Gewicht umschalten Anzahl
Gibt eine relative Gewichtung für die Toggle-Coverage an, die zur Einstufung der nicht eindeutigen Coverage verwendet wird
Punkte. Der Wert von Anzahl ist relativ zu den in der verwendeten Werte -Gewichtsspeicher,
-Gewichtslinie, -Gewichtskamm, -weight-fsm und -Gewichtsbehauptung Rangbefehlsoptionen.

AUSSCHLIESSEN COMMAND


Die folgenden Optionen sind mit dem Exclude-Befehl gültig:

-f Dateinamen
Gibt den Namen einer Datei an, die weitere Optionen für den Ausschlussbefehl enthält.
Diese Option kann für einen einzelnen Aufruf so oft wie nötig angegeben werden
Befehl ausschließen.

-h Erzeugt Nutzungsinformationen für den Exclude-Befehl.

-m Ermöglicht die Zuordnung einer Ausschlussmeldung zu allen in Betrieb befindlichen Versorgungspunkten
vom eingeschlossenen Zustand zum ausgeschlossenen Zustand. Für jeden Abdeckungspunkt, der sich trifft
Bei Erfüllung dieser Anforderung wird der Benutzer aufgefordert, einen Grund einzugeben. Der Grund kann sein
beliebig lang und beliebig viele Zeilen; Allerdings sind alle Formatierungszeichen (d. h.
Zeilenumbrüche, Tabulatoren, zusätzliche Leerzeichen usw.) werden entfernt und durch ein einzelnes ersetzt
Platz, wenn es später angezeigt wird. Um die Eingabe einer Nachricht zu beenden, drücken Sie die Eingabetaste.
Geben Sie einen einzelnen Punkt (.) ein und drücken Sie erneut die Eingabetaste. Die letzte Periode
Das Zeichen ist nicht Teil der Ausschlussnachricht.

-p Veranlasst alle angegebenen Abdeckungspunkte, ihren aktuellen Ausschlussstatus zu drucken
Ausschlussgrund (falls für den ausgeschlossenen Versorgungspunkt vorhanden) auf Standard zurücksetzen
Ausgang. Wenn diese Option angegeben ist, wird die -m Option wird ignoriert.

VERWENDUNG BEDECKT AS A VPI MODULE


Zusätzlich zur Verwendung des Score-Befehls von Covered zum Parsen einer VCD-, LXT2- oder FST-Datei zum Abstrahieren
Abdeckungsinformationen, Covered kann auch als VPI-Modul innerhalb eines Simulators verwendet werden
Extrahieren Sie diese Informationen. Die Vorteile der Verwendung von Covered als VPI gegenüber einem Dumpfile-Reader
das Folgende einschließen. Erstens können VCD-Dateien extrem groß sein, insbesondere über einen längeren Zeitraum
Simulationen und verbrauchen wertvollen Speicherplatz. Zweitens, wenn Sie einen Simulator verwenden
Dumps von Dateien in einem anderen Format als VCD, LXT2 oder FST und Sie möchten diese Dumps konvertieren
Wenn Sie Dateitypen in eine dieser Versionen konvertieren, können die Kosten für Speicherplatz und Zeit die Erstellung beeinträchtigen
Dumpfiles, die Covered benötigt, sind unerwünscht. Darüber hinaus wird Covered als VPI verwendet
Wenn das Modul Ihre Simulationsgeschwindigkeit verlangsamt, ist es höchstwahrscheinlich, dass die insgesamt aufgewendete Zeit
Das Simulieren Ihres Entwurfs und das Bewerten des Entwurfs in einem Schritt ist kürzer als dies in einem einzigen Schritt
Zwei schritte. Infolgedessen kann das Konfigurationsdienstprogramm von Covered VPI-fähige Bibliotheken für generieren
die folgenden kostenlosen und kommerziellen Simulatoren (Icarus Verilog, CVER und VCS).

Um die VPI-bereiten Bibliotheksdateien automatisch zu erstellen, wenn Covered aus der Quelle generiert wird,
Geben Sie einfach eine oder mehrere der folgenden Angaben an, wenn Sie das Dienstprogramm „configure“ im ausführen
Basis Abgedecktes Verzeichnis: --with-iv= , --with-vcs=
Pfad>, --with-cver= . Nachdem Covered konfiguriert wurde, geben Sie einfach Folgendes ein
„make“ und „make install“. Dadurch werden die VPI-bereiten Bibliotheksdateien im installiert
Installationsverzeichnis von libexec (standardmäßig lautet dieser Pfad /usr/local/libexec).

Bevor Sie bereit sind, das Design zu kompilieren, müssen Sie zunächst eine CDD-Datei, eine Top-Level-Datei, erstellen
Verilog-Datei und eine PLI-Tabellendatei (die letzte Datei wird nur für den VCS-Compiler benötigt).
Dies geschieht durch Angabe der -vpi (Dateinamen)-Option für Covereds Score-Befehl. Wenn nein
Wenn nach -vpi der Dateiname angegeben wird, werden die Dateien coverd_vpi.v und coverd_vpi.ta b angezeigt
wird zusammen mit der generierten Coverage-Datei erstellt. Beachten Sie, dass dieser Schritt nur ausgeführt werden muss
wird nur einmal durchgeführt, es sei denn, die Designdateien ändern sich. Sie können nun mit der Kompilierung beginnen
Simulator.

Wenn Sie eine Icarus-Verilog-Simulation kompilieren, fügen Sie einfach „-m“ hinzu
/usr/local/libexec/covered.vpi coverd_vpi.v‘ in die ‚iverilog‘-Befehlszeile. Einmal
Sobald die Kompilierung abgeschlossen ist, führen Sie die generierte ausführbare Datei wie gewohnt aus.

Wenn Sie eine CVER-Simulation kompilieren, fügen Sie einfach hinzu
'+loadvpi=/usr/local/libexec/covered.cver.so:vpi_compat_bootstrap covered_vpi.v' zum
'cver'-Befehlszeile.

Wenn Sie eine VCS-Simulation kompilieren, fügen Sie einfach „+vpi -load“ hinzu
/usr/local/libexec/covered.vcs.so:covered_register coverd_vpi.v‘ zum ‚vcs‘
Befehlszeile. Sobald die Kompilierung abgeschlossen ist, führen Sie die generierte ausführbare Datei nach Belieben aus
normalerweise würde.

Wenn Sie eine NC-Verilog-Simulation kompilieren, wechseln Sie zum Laden zum irun-Befehl von NC-Verilog
das abgedeckte gemeinsame Objekt: '-loadvpi /usr/local/libexec/covered.ncv.so:covered_register'
und aktivieren Sie den gesamten Zugriff mit „-access +rwc“. Sie können den $covered_sim-Aufruf fest codieren
Ihr RTL oder Sie können es dynamisch über die CLI ausführen, indem Sie -input input.tcl hinzufügen
Wechseln Sie zu Irun. Wobei die Datei input.tcl wie folgt aussieht und tb.dut die Datei ist
Abdeckungsinstanz:
call -systf {$covered_sim} {"scored.cdd"} tb.dut
Lauf

Es gibt zwei Plusargs, die an die generierte ausführbare Datei übergeben werden können, wenn diese ausgeführt wird
Covered wird analysiert. Der '+covered_cdd= ' Option bewirkt, dass Covered das ausgibt
bewertete Designinhalte in die von angegebene CDD-Datei . Dies ermöglicht mehrere Läufe
des Simulators, um mehrere verschiedene CDD-Dateien zu generieren, ohne dass eine Neukompilierung erforderlich ist
geschehen. Die Option „+covered_debug“ führt dazu, dass Covered eine Menge übermäßiger Ausgaben ausgibt
über seinen internen Laufzeitzustand während der Simulation. Diese Ausgabe wird nur generiert
wenn Covered mit der Option --enable-debug konfiguriert wurde. Diese Plusarg-Option sollte nicht
sollte von regulären Benutzern verwendet werden, da es in erster Linie dazu gedacht ist, den Entwicklern von Covered in zu helfen
Debuggen.

AUTOREN


Trevor Williams[E-Mail geschützt] >

Nutzen Sie die abgedeckten Online-Dienste über onworks.net


Kostenlose Server & Workstations

Laden Sie Windows- und Linux-Apps herunter

  • 1
    Zabbix
    Zabbix
    Zabbix ist ein Open der Enterprise-Klasse
    Quellverteilte Überwachungslösung
    entworfen, um zu überwachen und zu verfolgen
    Leistung und Verfügbarkeit des Netzwerks
    Server, Gerät...
    Zabbix herunterladen
  • 2
    KDiff3
    KDiff3
    Dieses Repository wird nicht mehr gepflegt
    und wird zu Archivierungszwecken aufbewahrt. Sehen
    https://invent.kde.org/sdk/kdiff3 for
    der neueste Code und
    https://download.kde.o...
    Laden Sie KDiff3 herunter
  • 3
    USBLoaderGX
    USBLoaderGX
    USBLoaderGX ist eine GUI für
    Waninkokos USB Loader, basierend auf
    libwiigui. Es ermöglicht die Auflistung und
    Starten von Wii-Spielen, Gamecube-Spielen und
    Homebrew auf Wii und WiiU...
    Laden Sie USBLoaderGX herunter
  • 4
    Firebird
    Firebird
    Firebird RDBMS bietet ANSI-SQL-Funktionen
    & läuft auf Linux, Windows &
    mehrere Unix-Plattformen. Merkmale
    hervorragende Parallelität und Leistung
    & Energie...
    Firebird herunterladen
  • 5
    Kompozer
    Kompozer
    KompoZer ist ein wysiwyg HTML-Editor, der verwendet
    die Mozilla Composer-Codebasis. Als
    Die Entwicklung von Nvu wurde gestoppt
    2005 behebt KompoZer viele Fehler und
    fügt ein f hinzu ...
    Laden Sie KompoZer herunter
  • 6
    Kostenlose Manga Downloader
    Kostenlose Manga Downloader
    Der Free Manga Downloader (FMD) ist ein
    Open-Source-Anwendung geschrieben
    Object-Pascal zum Verwalten und
    Herunterladen von Mangas von verschiedenen Websites.
    Das ist ein Spiegel...
    Laden Sie den kostenlosen Manga-Downloader herunter
  • Mehr »

Linux-Befehle

Ad


Enter