ഇംഗ്ലീഷ്ഫ്രഞ്ച്സ്പാനിഷ്

Ad


OnWorks ഫെവിക്കോൺ

മൂടിയിരിക്കുന്നു - ക്ലൗഡിൽ ഓൺലൈനായി

ഉബുണ്ടു ഓൺലൈൻ, ഫെഡോറ ഓൺലൈൻ, വിൻഡോസ് ഓൺലൈൻ എമുലേറ്റർ അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിവയിലൂടെ OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് പ്രൊവൈഡറിൽ പ്രവർത്തിക്കുക

Ubuntu Online, Fedora Online, Windows online emulator അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിങ്ങനെയുള്ള ഞങ്ങളുടെ ഒന്നിലധികം സൗജന്യ ഓൺലൈൻ വർക്ക്‌സ്റ്റേഷനുകളിലൊന്ന് ഉപയോഗിച്ച് OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് ദാതാവിൽ പ്രവർത്തിപ്പിക്കാൻ കഴിയുന്ന കമാൻഡ് ഇതാണ്.

പട്ടിക:

NAME


കവർഡ് - വെരിലോഗ് കോഡ് കവറേജ് അനലൈസർ

സിന്റാക്സ്


മൂടി [ആഗോള_ഓപ്ഷനുകൾ] സ്കോർ [ഓപ്ഷനുകൾ]
മൂടി [ആഗോള_ഓപ്ഷനുകൾ] ലയിപ്പിക്കുക [ഓപ്ഷനുകൾ] നിലവിലുള്ള_ഡാറ്റാബേസ് ഡാറ്റാബേസ്_to_merge+
മൂടി [ആഗോള_ഓപ്ഷനുകൾ] റിപ്പോർട്ട് [ഓപ്ഷനുകൾ] ഡാറ്റാബേസ്_ഫയൽ
മൂടി [ആഗോള_ഓപ്ഷനുകൾ] റാങ്ക് [ഓപ്ഷനുകൾ] ഡാറ്റാബേസ്_ടു_റാങ്ക് ഡാറ്റാബേസ്_ടു_റാങ്ക്+
മൂടി [ആഗോള_ഓപ്ഷനുകൾ] പെടുത്തിയിട്ടില്ല [ഓപ്ഷനുകൾ] exclusion_id+ ഡാറ്റാബേസ്_ഫയൽ

വിവരണം


മൂടിയ ഒരു വെരിലോഗ് കോഡ് കവറേജ് വിശകലന ഉപകരണമാണ്, അത് എങ്ങനെയെന്ന് നിർണ്ണയിക്കാൻ ഉപയോഗപ്രദമാകും
ഒരു ഡയഗ്‌നോസ്റ്റിക് ടെസ്റ്റ് സ്യൂട്ട് ടെസ്റ്റിന് കീഴിലുള്ള ഡിസൈൻ ഉൾക്കൊള്ളുന്നു. കവർ ചെയ്ത വായനകൾ
വെരിലോഗ് ഡിസൈൻ ഫയലുകളും ഒരു ഡയഗ്നോസ്റ്റിക് റണ്ണിൽ നിന്ന് VCD, LXT2 അല്ലെങ്കിൽ FST ഫോർമാറ്റ് ചെയ്ത ഡംപ്ഫയലും
ഒരു കവറേജ് ഡിസ്ക്രിപ്ഷൻ ഡാറ്റാബേസ് (CDD) ഫയൽ എന്ന പേരിൽ ഒരു ഡാറ്റാബേസ് ഫയൽ സൃഷ്ടിക്കുന്നു
സ്കോർ കമാൻഡ്. ഒരു CDD ഫയൽ സൃഷ്‌ടിക്കാൻ കവേഡിന്റെ സ്‌കോർ കമാൻഡ് പകരമായി ഉപയോഗിക്കാം
കൂടാതെ ഒരു ടെസ്റ്റ് ബെഞ്ചിൽ കവർഡ് ഒരു വിപിഐ മൊഡ്യൂളായി ഉപയോഗിക്കുന്നതിനുള്ള ഒരു വെരിലോഗ് മൊഡ്യൂളും
സിമുലേഷനുമായി സമാന്തരമായി കവറേജ് വിവരങ്ങൾ (കാണുക ഉപയോഗിക്കുന്നു മൂടി AS A വ്പി മൊഡ്യൂൾ). എസ്
തത്ഫലമായുണ്ടാകുന്ന CDD ഫയൽ അതേ ഡിസൈനിൽ നിന്ന് മറ്റ് CDD ഫയലുകളുമായി ലയിപ്പിച്ച് സൃഷ്ടിക്കാൻ കഴിയും
സഞ്ചിത കവറേജ്, മെർജ് കമാൻഡ് ഉപയോഗിച്ച്. ഒരു CDD ഫയൽ സൃഷ്ടിച്ചുകഴിഞ്ഞാൽ, ഉപയോക്താവിന് കഴിയും
ഒരു ASCII ഫോർമാറ്റിലോ ഉപയോഗത്തിലോ മനുഷ്യർക്ക് വായിക്കാൻ കഴിയുന്ന വിവിധ കവറേജ് റിപ്പോർട്ടുകൾ സൃഷ്ടിക്കുന്നതിന് കവർഡ് ഉപയോഗിക്കുക
റിപ്പോർട്ട് കമാൻഡ് ഉപയോഗിച്ച് കവറേജ് ഫലങ്ങൾ സംവേദനാത്മകമായി കാണുന്നതിന് കവേഡിന്റെ GUI. എങ്കിൽ
കവറേജിൽ നിന്ന് ഉപയോക്താവ് ഒഴിവാക്കാൻ ആഗ്രഹിക്കുന്ന കവറേജ് പോയിന്റുകൾ കണ്ടെത്തി, ഇതിന് കഴിയും
ഒന്നുകിൽ കമാൻഡ്-ലൈൻ എക്‌സ്‌ക്ലൂഡ് കമാൻഡ് ഉപയോഗിച്ചോ അല്ലെങ്കിൽ ജിയുഐയ്ക്കുള്ളിലോ കൈകാര്യം ചെയ്യാം. എപ്പോൾ ഒന്നിലധികം
സിഡിഡി ഫയലുകൾ ഒരേ ഡിസൈനിൽ നിന്നാണ് സൃഷ്ടിച്ചിരിക്കുന്നത്, ഉപയോക്താവിന് കവറേജ് റാങ്കിംഗ് ലഭിച്ചേക്കാം
ആ CDD ഫയലുകൾ റിഗ്രഷൻ ടെസ്റ്റിംഗിന് അനുയോജ്യമായ ഒരു ക്രമം നിർണ്ണയിക്കാനും അതുപോലെ മനസ്സിലാക്കാനും
പുതിയത് അടിക്കാനുള്ള കഴിവില്ലായ്മ കാരണം ഏത് CDD ഫയലുകളെ റിഗ്രഷനുകളിൽ നിന്ന് ഒഴിവാക്കാനാകും
കവറേജ് പോയിന്റുകൾ. കൂടാതെ, കവേഡിന്റെ സ്കോർ കമാൻഡിന്റെ ഭാഗമായി, റേസ് അവസ്ഥ
ഡിസൈൻ ഫയലുകളിൽ സാധ്യതകൾ കാണപ്പെടുന്നു, അവ ഒന്നുകിൽ അവഗണിക്കാം, മുന്നറിയിപ്പുകളായി ഫ്ലാഗ് ചെയ്യാം
അല്ലെങ്കിൽ പിശകുകളായി ഫ്ലാഗുചെയ്‌തു. റേസ് വ്യവസ്ഥകൾ പിശകുകളായി വ്യക്തമാക്കുന്നതിലൂടെ, കവർഡ് ഉപയോഗിക്കാനും കഴിയും
റേസ് കണ്ടീഷൻ ചെക്കറായി.

GLOBAL ഓപ്ഷനുകൾ


ഈ ഓപ്‌ഷനുകൾ കീവേഡിന് തൊട്ടുപിന്നാലെ സ്ഥാപിക്കുന്നു മൂടി കമാൻഡ് ലൈനിൽ. അവർ
ഏത് കമാൻഡിനും ഉപയോഗിക്കാം (ഒഴികെ -v ഒപ്പം -h) എന്നിവയിലും ഇതേ ഫലമുണ്ടാകും
ഓരോ കേസും.

-B അവ്യക്തമാക്കുക. ഔട്ട്പുട്ട് ചെയ്യുന്നതിന് മുമ്പ് എല്ലാ ഡിസൈൻ സെൻസിറ്റീവ് പേരുകളും അവ്യക്തമാക്കുന്നു
ഉപയോക്താവിന് വായിക്കാവുന്ന ഫോർമാറ്റ്. മായി ഔട്ട്പുട്ട് പങ്കിടുമ്പോൾ ഈ ഓപ്ഷൻ ഉപയോഗപ്രദമാണ്
ഡീബഗ്ഗിംഗ് ആവശ്യങ്ങൾക്കായി കവർഡ് ഡെവലപ്പർമാർ.

-D ഡീബഗ് ചെയ്യുക. ഡീബഗ്ഗിംഗ് ടൂൾ പ്രശ്നങ്ങൾക്ക് സഹായകമായ വിവരങ്ങൾ പ്രദർശിപ്പിക്കുക. കുറിപ്പ്: ഇത്
--enable-debug ഉപയോഗിച്ച് കവർ ചെയ്യുമ്പോൾ മാത്രമേ ഓപ്ഷൻ ഇപ്പോൾ ലഭ്യമാകൂ
കോൺഫിഗറേഷൻ ഓപ്ഷൻ.

-h സഹായം. ഈ ഉപയോഗ വിവരം പ്രദർശിപ്പിക്കുക.

-P [ഫയലിന്റെ പേര്]
പ്രൊഫൈലിംഗ് മോഡ്. എ നിർമ്മിക്കുന്ന ആന്തരിക സോഴ്സ് കോഡ് പ്രൊഫൈലർ ഓണാക്കുന്നു
റൺ കമാൻഡിന്റെ പ്രൊഫൈലിംഗ് റിപ്പോർട്ട് വ്യക്തമാക്കിയ ഒന്നിലേക്ക് ഫയലിന്റെ പേര് അല്ലെങ്കിൽ, ഇല്ലെങ്കിൽ
ഫയലിന്റെ പേര് എന്ന ഫയലിലേക്ക് ഹാജരാകുന്നു മൂടി.പ്രൊഫ. ഈ ഓപ്ഷൻ മാത്രമേ ലഭ്യമാകൂ
അങ്ങനെയാണെങ്കിൽ --പ്രാഫൈലിംഗ് പ്രവർത്തനക്ഷമമാക്കുക കവർ ചെയ്യുമ്പോൾ കോൺഫിഗറേഷൻ ഓപ്ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ട്
പണിതത്.

-Q നിശബ്ദ മോഡ്. എല്ലാ ഔട്ട്പുട്ടും അടിച്ചമർത്താൻ കാരണമാകുന്നു.

-T ടെർസ് മോഡ്. മുന്നറിയിപ്പ് ഒഴികെ എല്ലാ ഔട്ട്‌പുട്ടും അടിച്ചമർത്താൻ കാരണമാകുന്നു
സന്ദേശങ്ങളും കവർ ചെയ്ത ഹെഡർ വിവരങ്ങളും.

-v പതിപ്പ്. നിലവിലെ കവർഡ് പതിപ്പ് പ്രദർശിപ്പിക്കുക.

കമാൻഡുകൾ


സ്കോർ ഉപയോഗിക്കുന്ന ഡാറ്റാബേസ് ഫയൽ സൃഷ്ടിക്കാൻ വെരിലോഗ് ഫയലുകളും VCD/LXT2/FST ഡംപ്ഫയലുകളും പാഴ്സ് ചെയ്യുന്നു
ലയിപ്പിക്കുകയും റിപ്പോർട്ടുചെയ്യുകയും ചെയ്യുന്നു.

ലയിപ്പിക്കുക രണ്ടോ അതിലധികമോ ഡാറ്റാബേസ് ഫയലുകൾ ഒന്നിലേക്ക് ലയിപ്പിക്കുന്നു.

റിപ്പോർട്ട് ഡാറ്റാബേസ് ഫയലിൽ നിന്ന് മനുഷ്യർക്ക് വായിക്കാവുന്ന കവറേജ് റിപ്പോർട്ടുകൾ സൃഷ്ടിക്കുന്നു അല്ലെങ്കിൽ കവറേജ് ആരംഭിക്കുന്നു
റിപ്പോർട്ട് GUI.

റാങ്ക് റിഗ്രഷനുകൾ പ്രവർത്തിപ്പിക്കുന്നതിനും വ്യക്തമാക്കുന്നതിനും അനുയോജ്യമായ ഒരു ക്രമം വ്യക്തമാക്കുന്ന ഒരു റിപ്പോർട്ട് സൃഷ്ടിക്കുന്നു
പുതിയ കവറേജ് വിവരങ്ങൾ ചേർക്കാത്ത CDD ഫയലുകൾ (അതിനാൽ, ഒഴിവാക്കാവുന്നതാണ്
റിഗ്രഷനുകളിൽ നിന്ന്, ആവശ്യമെങ്കിൽ).

പെടുത്തിയിട്ടില്ല
ഒന്നോ അതിലധികമോ കവറേജ് പോയിന്റുകൾ അനുവദിക്കുന്നു (ഒരു റിപ്പോർട്ടിലെ ഒഴിവാക്കൽ ഐഡികൾ ഉപയോഗിച്ച് തിരിച്ചറിയുന്നു
അവരുടെ ഒഴിവാക്കൽ പ്രോപ്പർട്ടി ടോഗിൾ ചെയ്യുന്നതിനായി -x ഓപ്ഷൻ ഉപയോഗിച്ച് ജനറേറ്റ് ചെയ്‌തിരിക്കുന്നു (ഇത് ഉൾപ്പെടെ
ഒഴിവാക്കുക അല്ലെങ്കിൽ ഉൾപ്പെടുത്തുന്നതിന് ഒഴിവാക്കുക) കൂടാതെ, ഒഴിവാക്കാനുള്ള പ്രോപ്പർട്ടി സജ്ജീകരിച്ചിട്ടുണ്ടെങ്കിൽ,
ഒഴിവാക്കലുമായി ബന്ധപ്പെടുത്താനും സംഭരിക്കാനും ഓപ്ഷണലായി ഒരു കാരണം അനുവദിക്കുക
നിർദ്ദിഷ്ട CDD ഫയൽ.

സ്കോർ കമാൻറ്


സ്കോർ കമാൻഡിന് ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സാധുവാണ്:

-A ശരി അസെർഷൻ കവറേജിനായി OVL അസെർഷനുകൾ ഉപയോഗിക്കുന്നതിന് കാരണമാകുന്നു. ഈ പതാക നൽകണം
റിപ്പോർട്ടിൽ അസെർഷൻ കവറേജ് മെട്രിക്‌സ് ആവശ്യമാണെങ്കിൽ സ്‌കോർ കമാൻഡിലേക്ക്
കമാൻഡ്.

-സിഡിഡി ഡാറ്റാബേസ്
-o ഓപ്ഷൻ പോലെ തന്നെ. CDD ഫയൽ സ്കോർ ചെയ്യുമ്പോൾ ഉപയോഗപ്രദമാണ് സ്‌കോറിലേക്കുള്ള ഇൻപുട്ട്
കമാൻഡ്.

-ക്ലി [ഫയലിന്റെ പേര്]
VCD/LXT2/FST ഡംപ്ഫയൽ സ്കോറിംഗ് സമയത്ത് കമാൻഡ്-ലൈൻ ഡീബഗ്ഗർ ഉപയോഗിക്കുന്നതിന് കാരണമാകുന്നു.
If ഫയലിന്റെ പേര് വ്യക്തമാക്കിയിരിക്കുന്നു, ഈ ഫയലിൽ മുമ്പത്തെ കോളിൽ സംരക്ഷിച്ച വിവരങ്ങൾ അടങ്ങിയിരിക്കുന്നു
CLI-ൽ ഹിസ്റ്റ് സേവ് ചെയ്യാനും ഈ ഫയലിൽ അടങ്ങിയിരിക്കുന്ന ചരിത്രം വീണ്ടും പ്ലേ ചെയ്യാനും കാരണമാകുന്നു
CLI കമാൻഡ് പ്രോംപ്റ്റിന് മുമ്പ്. എങ്കിൽ ഫയലിന്റെ പേര് വ്യക്തമാക്കിയിട്ടില്ല, CLI പ്രോംപ്റ്റ് ചെയ്യും
സിമുലേഷന്റെ തുടക്കത്തിൽ ഉടൻ ലഭ്യമാകും. ഈ ഓപ്ഷൻ മാത്രമേ ലഭ്യമാകൂ
കവർഡ് കോൺഫിഗർ ചെയ്യുമ്പോൾ --എനേബിൾ-ഡീബഗ് ഓപ്ഷൻ.

- യാഥാസ്ഥിതിക
ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, കാരണമായേക്കാവുന്ന കോഡ് അടങ്ങിയിരിക്കുന്ന ഏതെങ്കിലും ലോജിക് ബ്ലോക്കുകൾ
കവറേജ് പൊരുത്തക്കേടുകൾ കൃത്യമല്ലാത്ത കവറേജ് ഫലങ്ങളിലേക്ക് നയിക്കുന്നു
കവറേജ് പരിഗണനയിൽ നിന്ന് നീക്കം ചെയ്തു. എന്താണെന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് ഉപയോക്തൃ ഗൈഡ് കാണുക
കോഡ് തരം കവറേജ് കൃത്യതയില്ലാത്തതിലേക്ക് നയിച്ചേക്കാം.

-dumpvars [ഫയലിന്റെ പേര്]
-vcd അല്ലെങ്കിൽ -lxt ഓപ്ഷനുകൾ ഇല്ലാതെ ഈ ഓപ്ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, ഡിസൈൻ പാഴ്‌സ് ചെയ്യപ്പെടും,
ഒരു CDD ഫയൽ സൃഷ്‌ടിക്കുകയും ഒരു ടോപ്പ്-ലെവൽ വെരിലോഗ് മൊഡ്യൂൾ ഫയലിന് നാമകരണം ചെയ്യുകയും ചെയ്തു ഫയലിന്റെ പേര് (ഇതാണെങ്കിൽ
മൂല്യം വ്യക്തമാക്കിയിട്ടുണ്ട്) അല്ലെങ്കിൽ "covered_dump.v" (എങ്കിൽ ഫയലിന്റെ പേര് വ്യക്തമാക്കിയിട്ടില്ല) സൃഷ്ടിച്ചു.
ഈ ഫയൽ സിമുലേറ്ററിന്റെ സമാഹാരത്തിൽ ഒരു ഡംപ്ഫിൽ സൃഷ്ടിക്കാൻ ഉപയോഗിക്കുന്നു
നിർദ്ദിഷ്‌ട CDD ഫയലിന്റെ കവറേജ് ലഭിക്കുന്നതിന് ഒപ്റ്റിമൈസ് ചെയ്‌തു. ഒന്നുകിൽ -vcd അല്ലെങ്കിൽ
-lxt ഓപ്‌ഷനുകൾ വ്യക്തമാക്കിയിട്ടുണ്ട്, ഈ ഓപ്ഷന് ഫലമില്ല. എന്നതിനുള്ള ഉപയോക്തൃ ഗൈഡ് കാണുക
ഈ ഓപ്ഷൻ എങ്ങനെ ഉപയോഗിക്കാം എന്നതിനെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾ.

-D define_name
നിർദ്ദിഷ്ട പേര് 1 ലേക്ക് നിർവചിക്കുന്നു.

-D define_name=value
നിർദ്ദിഷ്‌ട മൂല്യത്തിലേക്ക് നിർദ്ദിഷ്‌ട നാമം നിർവ്വചിക്കുന്നു.

-e ബ്ലോക്ക്_നാമം
മൊഡ്യൂളിന്റെ പേര്, ടാസ്‌ക്, ഫംഗ്‌ഷൻ അല്ലെങ്കിൽ സ്‌കോർ ചെയ്യാതിരിക്കാൻ ബിഗ്/എൻഡ് ബ്ലോക്ക് എന്ന് പേരിട്ടിരിക്കുന്നു. എല്ലാത്തിനും കാരണമാകുന്നു
ഈ ബ്ലോക്കിന് കീഴിലുള്ള വെരിലോഗ് ട്രീയിലെ സബ്ബ്ലോക്കുകളും സ്കോർ ചെയ്യരുത്.

-ea എല്ലായ്‌പ്പോഴും കവറേജിനായി പരിഗണിക്കുന്നതിൽ നിന്ന് എല്ലാ ബ്ലോക്കുകളും ഒഴിവാക്കുന്നു.

-ec കവറേജിനായി പരിഗണിക്കുന്നതിൽ നിന്ന് തുടർച്ചയായ എല്ലാ അസൈൻമെന്റുകളും ഒഴിവാക്കുന്നു.

-ഇഐ കവറേജിനായി പരിഗണിക്കുന്നതിൽ നിന്ന് എല്ലാ പ്രാരംഭ ബ്ലോക്കുകളും ഒഴിവാക്കുന്നു.

-എഫ് കവറേജിനായി പരിഗണിക്കുന്നതിൽ നിന്ന് എല്ലാ അന്തിമ ബ്ലോക്കുകളും ഒഴിവാക്കുന്നു.

-എപി [പേര്]
'// കവറേജ് ഓഫ്', '// കവറേജ് ഓൺ' എന്നീ പ്രാഗ്മകൾക്കിടയിൽ കാണുന്ന എല്ലാ കോഡുകളും ഒഴിവാക്കുന്നു
ഡിസൈനിൽ ഉൾച്ചേർത്തിരിക്കുന്നു. എങ്കിൽ പേര് വ്യക്തമാക്കിയിരിക്കുന്നു, പ്രാഗ്മയിലെ കീവേഡ് ചെയ്യും
സ്ഥിരസ്ഥിതി "കവറേജിന്" പകരം ആ പേരിലേക്ക് മാറ്റുക, ഇത് ഉപയോക്താവിനെ അനുവദിക്കുന്നു
മറ്റേതെങ്കിലും ഉപകരണങ്ങളുമായി വൈരുദ്ധ്യമുണ്ടെങ്കിൽ പ്രാഗ്മയുടെ രൂപം മാറ്റുക.

-F module_name=[in_expr,]out_expr
മൊഡ്യൂളിൽ സ്ഥിതിചെയ്യുന്ന എഫ്എസ്എം എവിടെയാണ് കണ്ടെത്തേണ്ടതെന്ന് പാർസറിനെ സൂചിപ്പിക്കുന്നു മൊഡ്യൂൾ_നാമം ഏത്
എന്ന ഒരു ഇൻപുട്ട് സ്റ്റേറ്റ് എക്സ്പ്രഷൻ ഉണ്ട് in_expr കൂടാതെ ഔട്ട്‌പുട്ട് സ്റ്റേറ്റ് എക്‌സ്‌പ്രഷൻ എന്ന് വിളിക്കുന്നു
out_expr. എങ്കിൽ in_expr വ്യക്തമാക്കിയിട്ടില്ല, out_expr ഇൻപുട്ടായി ഉപയോഗിക്കുന്നു
ഔട്ട്പുട്ട് സ്റ്റേറ്റ് എക്സ്പ്രഷൻ.

-f ഫയലിന്റെ പേര്
പാഴ്‌സ് ചെയ്യാനുള്ള അധിക ആർഗ്യുമെന്റുകൾ അടങ്ങിയ ഫയലിന്റെ പേര്.

-fst ഫയലിന്റെ പേര്
സ്കോർ ഡിസൈൻ ചെയ്യാനുള്ള FST ഡംപ്ഫയലിന്റെ പേര്. -vcd, -lxt അല്ലെങ്കിൽ ഈ ഓപ്ഷൻ ഇല്ലെങ്കിൽ
ഉപയോഗിച്ചു, കവർഡ് ഡിസൈനിൽ നിന്ന് ഒരു പ്രാരംഭ CDD ഫയൽ മാത്രമേ സൃഷ്ടിക്കൂ, അങ്ങനെ ചെയ്യില്ല
ഡിസൈൻ സ്കോർ ചെയ്യാൻ ശ്രമിക്കുക.

-g [module_name=](1|2|3)
വെരിലോഗിന്റെ ഒരു പ്രത്യേക തലമുറയിലേക്ക് പാഴ്‌സർ പരിമിതപ്പെടുത്താൻ ഉപയോക്താവിനെ അനുവദിക്കുന്നു
1=Verilog-1995, ഒരു നിർദ്ദിഷ്‌ട മൊഡ്യൂൾ അല്ലെങ്കിൽ മുഴുവൻ രൂപകൽപ്പനയ്‌ക്കായുള്ള സ്റ്റാൻഡേർഡ്,
2=Verilog-2001, 3=SystemVerilog. എങ്കിൽ module_name= വ്യക്തമാക്കിയിരിക്കുന്നു, പാഴ്സർ ഉപയോഗിക്കുന്നത്
ആ മൊഡ്യൂളിന് മാത്രമായി വെരിലോഗ് സ്റ്റാൻഡേർഡ് വ്യക്തമാക്കിയിട്ടുണ്ട്, ബാക്കിയുള്ള ഡിസൈൻ അനുവദിക്കുന്നു
ആഗോള നിലവാരം ഉപയോഗിക്കുക. സ്ഥിരസ്ഥിതിയായി, ആഗോള നിലവാരം ഏറ്റവും പുതിയതായി സജ്ജീകരിച്ചിരിക്കുന്നു
പതിപ്പ് (3). എന്നതിലേക്കുള്ള ഒരു കോളിനായി ഈ ഓപ്ഷൻ ഒന്നിലധികം തവണ വ്യക്തമാക്കാൻ കഴിയും
സ്കോർ കമാൻഡ്; എന്നിരുന്നാലും, -g ഓപ്ഷൻ ആഗോള മൂല്യത്തേക്കാൾ കൂടുതൽ വ്യക്തമാക്കുകയാണെങ്കിൽ (അതായത്,
ഇല്ലാതെ മൊഡ്യൂൾ= പ്രിഫിക്സ്), അവസാന ഓപ്ഷൻ മൂല്യം മാത്രമേ ഉപയോഗിക്കൂ.

-h ഈ സഹായ വിവരങ്ങൾ പ്രദർശിപ്പിക്കുന്നു.

-I ഡയറക്ടറി
ഉൾപ്പെടുത്തിയ വെരിലോഗ് ഫയലുകൾ കണ്ടെത്തുന്നതിനുള്ള ഡയറക്ടറി.

-i instance_name
മരത്തിന്റെ മുകളിലുള്ള മൊഡ്യൂളിനെക്കുറിച്ചുള്ള വേരിലോഗ് ശ്രേണിപരമായ റഫറൻസ്
സ്കോർ ചെയ്തു. കവറേജ് പരിശോധിക്കുന്നതിനുള്ള മൊഡ്യൂൾ ഉയർന്ന നിലയിലല്ലെങ്കിൽ ഈ ഓപ്ഷൻ ആവശ്യമാണ്
ഡിസൈനിലെ മൊഡ്യൂൾ. വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, -t മൂല്യം ഉപയോഗിക്കുന്നു.

-lxt ഫയലിന്റെ പേര്
സ്കോർ ഡിസൈൻ ചെയ്യാനുള്ള LXT2 ഡംപ്ഫയലിന്റെ പേര്. -vcd, -fst അല്ലെങ്കിൽ ഈ ഓപ്ഷൻ ഇല്ലെങ്കിൽ
ഉപയോഗിച്ചു, കവർഡ് ഡിസൈനിൽ നിന്ന് ഒരു പ്രാരംഭ CDD ഫയൽ മാത്രമേ സൃഷ്ടിക്കൂ, അങ്ങനെ ചെയ്യില്ല
ഡിസൈൻ സ്കോർ ചെയ്യാൻ ശ്രമിക്കുക.

-m സന്ദേശം
ഈ CDD ഫയലിനെക്കുറിച്ചുള്ള വിവരങ്ങൾ വ്യക്തമാക്കാൻ ഉപയോക്താവിനെ അനുവദിക്കുന്നു. ഈ വിവരങ്ങൾക്ക് കഴിയും
എന്തും ആകട്ടെ (വൈറ്റ്സ്പേസ് ഉള്ള സന്ദേശങ്ങൾ ഇരട്ട ഉദ്ധരണികളാൽ ചുറ്റപ്പെട്ടിരിക്കണം
അടയാളങ്ങൾ), എന്നാൽ കൂടുതൽ എളുപ്പത്തിൽ സിമുലേഷൻ ആർഗ്യുമെന്റുകളെക്കുറിച്ച് എന്തെങ്കിലും ഉൾപ്പെടുത്തിയേക്കാം
CDD ഫയൽ പുനഃസൃഷ്ടിക്കുന്നതിനുള്ള ആവശ്യങ്ങൾക്കായി CDD ഫയൽ അതിന്റെ സിമുലേഷനുമായി ലിങ്ക് ചെയ്യുക.

-o ഡാറ്റാബേസ്
കവറേജ് വിവരങ്ങൾ എഴുതാനുള്ള ഡാറ്റാബേസിന്റെ പേര്. വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, ഔട്ട്പുട്ട്
ഡാറ്റാബേസ് ഫയലിന്റെ പേര് "cov.cdd" ആയിരിക്കും.

-p ഫയലിന്റെ പേര്
ഇന്റർമീഡിയറ്റ് പ്രീപ്രൊസസ്സർ ഔട്ട്പുട്ട് സംഭരിക്കാൻ ഉപയോഗിക്കുന്ന ഡിഫോൾട്ട് ഫയൽനാമം അസാധുവാക്കുന്നു.

-P പരാമീറ്റർ_സ്കോപ്പ്=മൂല്യം
മൂല്യമുള്ള നിർദ്ദിഷ്ട പരാമീറ്ററിൽ ഒരു defparam നടപ്പിലാക്കുന്നു.

-ആർഎസ് റേസ് അവസ്ഥ പരിശോധനകൾ ലംഘിക്കപ്പെടുമ്പോൾ, കുറ്റകരമായ ലോജിക് ബ്ലോക്കുകൾ നീക്കം ചെയ്യപ്പെടും
കവറേജ് പരിഗണനയിൽ നിന്ന് എല്ലാ ഔട്ട്പുട്ടും റേസുമായി ബന്ധപ്പെട്ട് അടിച്ചമർത്തപ്പെടുന്നു
വ്യവസ്ഥ ലംഘനം. വംശത്തെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് ഉപയോക്തൃ ഡോക്യുമെന്റേഷൻ കാണുക
അവസ്ഥ പരിശോധിക്കുന്ന ഉപയോഗം.

-rW റേസ് അവസ്ഥ പരിശോധനകൾ ലംഘിക്കപ്പെടുമ്പോൾ, കുറ്റകരമായ ലോജിക് ബ്ലോക്കുകൾ നീക്കം ചെയ്യപ്പെടും
കവറേജ് പരിഗണനയിൽ നിന്നും റേസ് വ്യവസ്ഥ ലംഘനത്തിൽ നിന്നും ഔട്ട്പുട്ട് ആണ്. ഇതാണ്
റേസ് അവസ്ഥ കൈകാര്യം ചെയ്യുന്നതിനുള്ള ഡിഫോൾട്ട് സ്വഭാവം. കൂടുതൽ വിവരങ്ങൾക്ക് ഉപയോക്തൃ ഡോക്യുമെന്റേഷൻ കാണുക
റേസ് അവസ്ഥ പരിശോധിക്കുന്ന ഉപയോഗത്തെക്കുറിച്ചുള്ള വിവരങ്ങൾ.

-rE റേസ് കണ്ടീഷൻ ചെക്കുകൾ ലംഘിക്കപ്പെടുമ്പോൾ, കാരണം ഔട്ട്പുട്ടും സ്കോറിംഗ് അവസാനിക്കുന്നതുമാണ്
ഉടനെ. റേസ് അവസ്ഥയെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് ഉപയോക്തൃ ഡോക്യുമെന്റേഷൻ കാണുക
ഉപയോഗം പരിശോധിക്കുന്നു.

-rI[=മൊഡ്യൂൾ പേര്]
If മൊഡ്യൂൾ പേര് വ്യക്തമാക്കിയിട്ടില്ല, റേസ് അവസ്ഥ പരിശോധിക്കുന്നത് മൊത്തത്തിൽ ഒഴിവാക്കിയിരിക്കുന്നു
മുഴുവൻ ഡിസൈൻ. എങ്കിൽ മൊഡ്യൂൾ പേര് വ്യക്തമാക്കിയിരിക്കുന്നു, റേസ് അവസ്ഥ പരിശോധിക്കുന്നത് ഒഴിവാക്കി
നിർദ്ദിഷ്ട മൊഡ്യൂളിനായി. വംശത്തെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് ഉപയോക്തൃ ഡോക്യുമെന്റേഷൻ കാണുക
അവസ്ഥ പരിശോധിക്കുന്ന ഉപയോഗം.

-rP[=പേര്])
റേസ് കണ്ടീഷൻ ചെക്കിംഗിൽ നിന്ന് ചില കോഡ് അവഗണിക്കുന്നതിന് എംബഡഡ് പ്രാഗ്മകൾ ഉപയോഗിക്കുന്നു
പരിഗണന (എങ്കിൽ പേര് ഇത് പ്രാഗ്മ കീവേഡായി ഉപയോഗിക്കുന്നു). ഉപയോക്താവിനെ കാണുക
റേസ് അവസ്ഥ പരിശോധിക്കുന്ന ഉപയോഗത്തെക്കുറിച്ചുള്ള കൂടുതൽ വിവരങ്ങൾക്ക് ഡോക്യുമെന്റേഷൻ.

-S സിമുലേഷൻ പൂർത്തിയായതിന് ശേഷം സിമുലേഷൻ സ്ഥിതിവിവരക്കണക്കുകൾ ഔട്ട്പുട്ട് ചെയ്യുന്നു. ഈ വിവരം
നിലവിൽ കവർഡിന്റെ ഡെവലപ്പർമാർക്ക് മാത്രം ഉപയോഗപ്രദമാണ്.

-t ഉന്നത നിലവാരം മൊഡ്യൂൾ
അളക്കുന്ന ഏറ്റവും ഉയർന്ന മൊഡ്യൂളിന്റെ മൊഡ്യൂളിന്റെ പേര് വ്യക്തമാക്കുന്നു. അതല്ല
ഈ മൊഡ്യൂൾ സിമുലേറ്ററിലെ ഏറ്റവും മികച്ച മൊഡ്യൂളായിരിക്കണമെന്നില്ല. ഈ ഫീൽഡ്
സ്കോർ കമാൻഡിലേക്കുള്ള എല്ലാ കോളുകൾക്കും ആവശ്യമാണ്.

-top_ts സമയക്രമം
-vpi അല്ലെങ്കിൽ -dumpvars ഓപ്ഷനുകൾ വ്യക്തമാക്കുമ്പോൾ മാത്രമേ ഈ ഓപ്ഷൻ സാധുവാകൂ.
ജനറേറ്റുചെയ്‌ത വെരിലോഗ് മൊഡ്യൂളിനായി ഒരു ടൈംസ്‌കെയിൽ വ്യക്തമാക്കാൻ ഈ ഓപ്ഷൻ ഉപയോക്താവിനെ അനുവദിക്കുന്നു
-vpi/-dumpvars ഓപ്ഷൻ ഉപയോഗിച്ചാണ് സൃഷ്ടിച്ചത്. ഈ ഓപ്ഷൻ വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, ഇല്ല
ജനറേറ്റ് ചെയ്ത മൊഡ്യൂളിനായി ടൈംസ്കെയിൽ സൃഷ്ടിക്കപ്പെടും. മൂല്യം സമയക്രമം is
ഇനിപ്പറയുന്ന രീതിയിൽ വ്യക്തമാക്കിയിരിക്കുന്നു:

(1|10|100)(s|ms|us|ns|ps|fs)/(1|10|100)(s|ms|us|ns|ps|fs)

വിവിധ മൂല്യങ്ങൾക്കിടയിൽ വൈറ്റ്‌സ്‌പെയ്‌സ് ആവശ്യമാണെങ്കിൽ, ഇതിന്റെ മുഴുവൻ ഉള്ളടക്കവും സ്ഥാപിക്കുക
സമയക്രമം ഇരട്ട ഉദ്ധരണികളിൽ.

-ts അക്കം
സ്കോറിംഗ് സംഭവിക്കുമ്പോൾ, സിമുലേറ്ററിന് എത്ര ദൂരമുണ്ടെന്ന് കാണാൻ ഈ ഓപ്ഷൻ ഉപയോക്താവിനെ അനുവദിക്കുന്നു
നിലവിലെ സമയക്രമം സ്റ്റാൻഡേർഡ് ഔട്ട്പുട്ടിലേക്ക് ഔട്ട്പുട്ട് ചെയ്തുകൊണ്ട് പുരോഗമിക്കുന്നു. മൂല്യം
അക്കം ഔട്ട്പുട്ട് ചെയ്യുന്നതിന് മുമ്പ് എത്ര തവണ സ്റ്റെപ്പുകൾ അനുകരിക്കാൻ അനുവദിച്ചിരിക്കുന്നു എന്ന് വ്യക്തമാക്കുന്നു
നിലവിലെ സമയഘട്ടം (ഔട്ട്‌പുട്ട് സ്ട്രീമിലേക്കുള്ള കോളുകൾ കുറവാണ്).

-T (മിനിറ്റ്|ടൈപ്പ്|പരമാവധി)
ഫോമിൽ കാലതാമസം നേരിടുമ്പോൾ ഏത് മൂല്യമാണ് ഉപയോഗിക്കേണ്ടതെന്ന് വ്യക്തമാക്കുന്നു:
മിനി: ടൈപ്പ്: പരമാവധി. ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, 'ടൈപ്പ്' സെലക്ട് ഡിഫോൾട്ടായി ഉപയോഗിക്കും.

-v ഫയലിന്റെ പേര്
സ്കോർ ചെയ്യാനുള്ള നിർദ്ദിഷ്ട വെരിലോഗ് ഫയലിന്റെ പേര്.

-വിസിഡി ഫയലിന്റെ പേര്
സ്കോർ ഡിസൈൻ ചെയ്യാനുള്ള വിസിഡി ഡംപ്ഫയലിന്റെ പേര്. -lxt, -fst അല്ലെങ്കിൽ ഈ ഓപ്ഷൻ ഇല്ലെങ്കിൽ
ഉപയോഗിച്ചു, കവർഡ് ഡിസൈനിൽ നിന്ന് ഒരു പ്രാരംഭ CDD ഫയൽ മാത്രമേ സൃഷ്ടിക്കൂ, അങ്ങനെ ചെയ്യില്ല
ഡിസൈൻ സ്കോർ ചെയ്യാൻ ശ്രമിക്കുക.

-വിപിഐ [ഫയലിന്റെ പേര്]
-vcd, -lxt അല്ലെങ്കിൽ -fst ഓപ്ഷനുകൾ ഇല്ലാതെ ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, ഡിസൈൻ
പാഴ്‌സ് ചെയ്‌തത്, ഒരു CDD ഫയൽ സൃഷ്‌ടിക്കുകയും ഒരു ടോപ്പ്-ലെവൽ വെരിലോഗ് മൊഡ്യൂൾ ഫയലിന് പേരിടുകയും ചെയ്യുന്നു ഫയലിന്റെ പേര്
(ഈ മൂല്യം വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ) അല്ലെങ്കിൽ "covered_vpi.v" (എങ്കിൽ ഫയലിന്റെ പേര് വ്യക്തമാക്കിയിട്ടില്ല) ആണ്
എന്ന് വിളിക്കപ്പെടുന്ന ഒരു PLI ടേബിൾ ഫയലിനൊപ്പം സൃഷ്ടിച്ചു ഫയലിന്റെ പേര്.ta b അല്ലെങ്കിൽ "covered_vpi.v.ta b".
ഈ രണ്ട് ഫയലുകളും സിമുലേറ്ററിന്റെ സമാഹാരത്തിൽ കവർഡ് ആയി ഉപയോഗിക്കുന്നതിന് ഉപയോഗിക്കുന്നു
ഒരു VPI മൊഡ്യൂൾ. -vcd, -lxt അല്ലെങ്കിൽ -fst ഓപ്ഷനുകൾ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, ഈ ഓപ്ഷൻ
ഒരു ഫലവുമില്ല.

-വിഗ്നോർ
കോഡ് പാഴ്സിംഗും സിമുലേഷനും സമയത്ത് മുന്നറിയിപ്പുകളുടെ ഔട്ട്പുട്ട് അടിച്ചമർത്തുക.

-y ഡയറക്ടറി
വ്യക്തമാക്കാത്ത വെരിലോഗ് ഫയലുകൾ കണ്ടെത്തുന്നതിനുള്ള ഡയറക്ടറി.

+libext+.വിപുലീകരണം[+.വിപുലീകരണം]*+
സ്‌കോറിംഗിൽ അനുവദിക്കുന്നതിനുള്ള വെരിലോഗ് ഫയലുകളുടെ വിപുലീകരണങ്ങൾ.

ലയിപ്പിക്കുക കമാൻറ്


മെർജ് കമാൻഡിന് ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സാധുവാണ്:

-d ഫയലിന്റെ പേര്
ഉൾപ്പെടുത്തേണ്ട CDD ഫയലുകൾക്കായി തിരയാനുള്ള ഡയറക്ടറി. ഈ ഓപ്ഷൻ സംയോജിതമായി ഉപയോഗിക്കുന്നു
കൂടെ - എക്സിറ്റ് നിർണ്ണയിക്കാൻ ഉപയോഗിക്കേണ്ട ഫയൽ എക്സ്റ്റൻഷൻ വ്യക്തമാക്കുന്ന ഓപ്ഷൻ
ഡയറക്ടറിയിലെ ഏത് ഫയലുകളാണ് CDD ഫയലുകൾ.

-R (ആദ്യം|അവസാനത്തെ|എല്ലാം|പുതിയ|പഴയത്)
ഒഴിവാക്കാനുള്ള കാരണ പരിഹാരം എങ്ങനെ കൈകാര്യം ചെയ്യണമെന്ന് വ്യക്തമാക്കുന്നു. രണ്ടോ അതിലധികമോ CDD ഫയലുകൾ ആണെങ്കിൽ
ലയിപ്പിക്കുമ്പോൾ, അതേ കവറേജ് പോയിന്റിനായി വ്യക്തമാക്കിയ ഒഴിവാക്കൽ കാരണങ്ങളുണ്ട്
ഒഴിവാക്കാനുള്ള കാരണം പരിഹരിക്കേണ്ടതുണ്ട് (അത് ഒരേ സ്ട്രിംഗ് മൂല്യമല്ലെങ്കിൽ). എങ്കിൽ
ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടില്ല, ഒരു വൈരുദ്ധ്യം കണ്ടെത്തി, കവർഡ് ഇന്ററാക്ടീവ് ആയിരിക്കും
അത് എങ്ങനെ കൈകാര്യം ചെയ്യണമെന്ന് ഓരോ ഒഴിവാക്കലിനും ഇൻപുട്ട് അഭ്യർത്ഥിക്കുക. ഈ ഓപ്ഷൻ ആണെങ്കിൽ
വ്യക്തമാക്കിയത്, എല്ലാ ഒഴിവാക്കൽ കാരണ വൈരുദ്ധ്യങ്ങളും എങ്ങനെ കൈകാര്യം ചെയ്യണമെന്ന് അത് കവർഡിനോട് പറയുന്നു. ദി
മൂല്യങ്ങൾ ഇപ്രകാരമാണ്:.br

ആദ്യം - ആദ്യ ഒഴിവാക്കൽ കാരണം അടങ്ങിയ CDD ഫയൽ ഉപയോഗിക്കുന്നു.

അവസാനത്തെ - അവസാനത്തെ ഒഴിവാക്കാനുള്ള കാരണം അടങ്ങിയ CDD ഫയൽ ഉപയോഗിച്ചു.

എല്ലാം - എല്ലാ ഒഴിവാക്കൽ കാരണങ്ങളും ഉപയോഗിക്കുന്നു (കൺകറ്റേറ്റഡ്).

പുതിയ - വ്യക്തമാക്കിയ ഏറ്റവും പുതിയ ഒഴിവാക്കൽ കാരണം ഉപയോഗിക്കുക.

പഴയത് - വ്യക്തമാക്കിയ ഏറ്റവും പഴയ ഒഴിവാക്കൽ കാരണം ഉപയോഗിക്കുക.

- എക്സിറ്റ് വിപുലീകരണം
എന്നിവയുമായി ചേർന്ന് ഉപയോഗിക്കുന്നു -d ഓപ്ഷൻ. അല്ലെങ്കിൽ - എക്സിറ്റ് എന്നതിൽ ഓപ്ഷനുകൾ വ്യക്തമാക്കിയിട്ടുണ്ട്
കമാൻഡ്-ലൈൻ, '.cdd' ന്റെ ഡിഫോൾട്ട് മൂല്യം ഉപയോഗിക്കുന്നു. ഒരു കാലയളവ് (.) വേണമെന്ന് ശ്രദ്ധിക്കുക
വ്യക്തമാക്കണം.

-f ഫയലിന്റെ പേര്
പാഴ്‌സ് ചെയ്യാനുള്ള അധിക ആർഗ്യുമെന്റുകൾ അടങ്ങിയ ഫയലിന്റെ പേര്.

-h ഈ സഹായ വിവരങ്ങൾ പ്രദർശിപ്പിക്കുന്നു.

-m സന്ദേശം
ഈ CDD ഫയലിനെക്കുറിച്ചുള്ള വിവരങ്ങൾ വ്യക്തമാക്കാൻ ഉപയോക്താവിനെ അനുവദിക്കുന്നു. ഈ വിവരങ്ങൾക്ക് കഴിയും
എന്തും ആകട്ടെ (വൈറ്റ്സ്പേസ് ഉള്ള സന്ദേശങ്ങൾ ഇരട്ട ഉദ്ധരണികളാൽ ചുറ്റപ്പെട്ടിരിക്കണം
മാർക്ക്).

-o ഫയലിന്റെ പേര്
പുതിയ ഡാറ്റാബേസ് ഔട്ട്പുട്ട് ചെയ്യാനുള്ള ഫയൽ. ഈ വാദം വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ,
നിലവിലുള്ള_ഡാറ്റാബേസ് ഔട്ട്പുട്ട് ഡാറ്റാബേസ് നാമമായി ഉപയോഗിക്കുന്നു.

റിപ്പോർട്ട് കമാൻറ്


റിപ്പോർട്ട് കമാൻഡിൽ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സാധുവാണ്:

-b കോമ്പിനേഷൻ ലോജിക് വെർബോസ് ഔട്ട്‌പുട്ട് റിപ്പോർട്ടുചെയ്‌താൽ, എക്‌സ്‌പ്രഷൻ ഒരു വെക്‌ടറാണ്
ഓപ്പറേഷൻ, ഈ ഓപ്ഷൻ കവറേജ് വിവരങ്ങൾ ബിറ്റ്വൈസ് അടിസ്ഥാനത്തിൽ ഔട്ട്പുട്ട് ചെയ്യുന്നു.

-c If -v വ്യക്തമാക്കിയിരിക്കുന്നു, കവർ ചെയ്ത മെട്രിക്‌സ് മാത്രം പ്രദർശിപ്പിക്കുന്നു. ഡിഫോൾട്ട് അൺകവർഡ് ഡിസ്പ്ലേ ആണ്
വിവരങ്ങൾ മാത്രം.

-d (s|d|v)
കവറേജ് റിപ്പോർട്ടിൽ നൽകേണ്ട വിശദാംശങ്ങളുടെ തലം (s = സംഗ്രഹം, d =
വിശദമായി, v = വാചാലമായത്). സ്ഥിരസ്ഥിതി സംഗ്രഹമാണ്.

-e ഔട്ട്‌പുട്ടുകൾ എല്ലാ ഒഴിവാക്കിയ കവറേജ് പോയിന്റുകളും റിപ്പോർട്ട് ഫയലിലേക്ക് നിർദ്ദിഷ്‌ടമായവയ്‌ക്കൊപ്പം
എങ്കിൽ ഒഴിവാക്കാനുള്ള കാരണങ്ങൾ -d d or -d v ഓപ്ഷനുകൾ വ്യക്തമാക്കിയിട്ടുണ്ട്.

-f ഫയലിന്റെ പേര്
പാഴ്‌സ് ചെയ്യാനുള്ള അധിക ആർഗ്യുമെന്റുകൾ അടങ്ങിയ ഫയലിന്റെ പേര്.

-h ഈ സഹായ വിവരങ്ങൾ പ്രദർശിപ്പിക്കുന്നു.

-i മൊഡ്യൂളിന് പകരം ഉദാഹരണങ്ങൾക്കായി കവറേജ് വിവരങ്ങൾ നൽകുന്നു.

-m [l][t][c][f][r][a][m]
റിപ്പോർട്ട് ചെയ്യാനുള്ള മെട്രിക്കുകളുടെ തരം(കൾ). l=ലൈൻ, t=ടോഗിൾ, c=കോമ്പിനേഷൻ ലോജിക്, f=FSM അവസ്ഥ
കൂടാതെ സംസ്ഥാന സംക്രമണം, r = റേസ് അവസ്ഥകൾ, a = ഉറപ്പ്, m = മെമ്മറി. സ്ഥിരസ്ഥിതിയാണ് ltcf.

-o ഫയലിന്റെ പേര്
വിവരങ്ങൾ ഔട്ട്‌പുട്ട് ചെയ്യാൻ ഫയൽ ചെയ്യുക. ഡിഫോൾട്ട് സ്റ്റാൻഡേർഡ് ഔട്ട്പുട്ടാണ്.

-s കവറേജ് വിവരങ്ങളൊന്നും അടങ്ങിയിട്ടില്ലാത്ത മൊഡ്യൂളുകൾ/ഇൻസ്റ്റൻസുകൾ ഔട്ട്‌പുട്ട് ചെയ്യുന്നതിൽ നിന്ന് അടിച്ചമർത്തുന്നു
റിപ്പോർട്ടിലേക്ക്. എന്നതിൽ നിന്ന് അർത്ഥശൂന്യമായ വിവരങ്ങൾ ഇല്ലാതാക്കാൻ സഹായിക്കുന്നതിന് ഉപയോഗിക്കുന്നു
റിപ്പോർട്ട് ചെയ്യുക.

-v ഒഴിവാക്കി. പകരം '-dd' അല്ലെങ്കിൽ '-d v'.

-കാഴ്ച ഇന്ററാക്ടീവ് കവറേജ് റിപ്പോർട്ടിംഗിനായി GUI ഇന്റർഫേസ് ആരംഭിക്കുന്നു.

-w (അക്കം)
വെരിലോഗ് ഔട്ട്പുട്ട് ചെയ്യാൻ ഉപയോഗിക്കാവുന്ന പരമാവധി വരി വീതി (അക്ഷരങ്ങളിൽ) വ്യക്തമാക്കുന്നു
വിവരങ്ങൾ. ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, റിപ്പോർട്ടിലെ എല്ലാ വെരിലോഗ് കോഡും
യഥാർത്ഥ വെരിലോഗ് കോഡിൽ വ്യക്തമാക്കിയ അതേ ഫോർമാറ്റിംഗ് നിലനിർത്തുക. ഇത് എങ്കിൽ
ഓപ്‌ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ട്, നിലവിലുള്ളതിന്റെ അത്രയും ഉപയോഗിക്കുന്നതിന് വെരിലോഗ് കോഡ് ഫോർമാറ്റ് ചെയ്യപ്പെടും
പരമാവധി വരി വീതിയിൽ എത്തുമ്പോൾ വാചകം പൊതിയുക. ദി
സ്ഥിരസ്ഥിതി പരമാവധി വരി വീതി 115 പ്രതീകങ്ങളാണ് (സംഖ്യ ഇല്ലെങ്കിൽ ഈ മൂല്യം ഉപയോഗിക്കുന്നു
-w ഓപ്ഷൻ ഉപയോഗിച്ച് വ്യക്തമാക്കിയിരിക്കുന്നു). -w ഓപ്‌ഷൻ ഉപയോഗിച്ച് ഒരു നമ്പർ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, ഇത്
പരമാവധി വരി വീതിക്ക് മൂല്യം ഉപയോഗിക്കുന്നു.

-x ഉള്ളിലുള്ള എല്ലാ കവർ ചെയ്യപ്പെടാത്തതും ഒഴിവാക്കിയതുമായ കവറേജ് പോയിന്റുകളുടെ ഒഴിവാക്കൽ ഐഡികൾ ഔട്ട്പുട്ട് ചെയ്യുന്നു
കവറേജ് പോയിന്റിന്റെ അനുബന്ധ വെർബോസ് ഔട്ട്പുട്ടിനു മുമ്പുള്ള പരാൻതീസിസ്. ദി
ഒഴിവാക്കലിലൂടെ കവറേജ് പോയിന്റുകൾ ഒഴിവാക്കാൻ/ഉൾപ്പെടുത്താൻ ഒഴിവാക്കൽ ഐഡികൾ ഉപയോഗിക്കാം
കമാൻഡ്.

RANK കമാൻറ്


റാങ്ക് കമാൻഡ് ഉപയോഗിച്ച് ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സാധുവാണ്:

-d ഫയലിന്റെ പേര്
ഉൾപ്പെടുത്തേണ്ട CDD ഫയലുകൾക്കായി തിരയാനുള്ള ഡയറക്ടറി. ഈ ഓപ്ഷൻ സംയോജിതമായി ഉപയോഗിക്കുന്നു
കൂടെ - എക്സിറ്റ് നിർണ്ണയിക്കാൻ ഉപയോഗിക്കേണ്ട ഫയൽ എക്സ്റ്റൻഷൻ വ്യക്തമാക്കുന്ന ഓപ്ഷൻ
ഡയറക്ടറിയിലെ ഏത് ഫയലുകളാണ് CDD ഫയലുകൾ.

-ആഴം അക്കം
ഓരോ കവറേജ് പോയിന്റിലും എത്താൻ ആവശ്യമായ ഏറ്റവും കുറഞ്ഞ CDD ഫയലുകളുടെ എണ്ണം വ്യക്തമാക്കുന്നു. ദി
ന്റെ മൂല്യം അക്കം പൂജ്യത്തേക്കാൾ വലുതായിരിക്കണം. സ്ഥിരസ്ഥിതി 1 ആണ്.

- എക്സിറ്റ് വിപുലീകരണം
എന്നിവയുമായി ചേർന്ന് ഉപയോഗിക്കുന്നു -d ഓപ്ഷൻ. അല്ലെങ്കിൽ - എക്സിറ്റ് എന്നതിൽ ഓപ്ഷനുകൾ വ്യക്തമാക്കിയിട്ടുണ്ട്
കമാൻഡ്-ലൈൻ, '.cdd' ന്റെ ഡിഫോൾട്ട് മൂല്യം ഉപയോഗിക്കുന്നു. ഒരു കാലയളവ് (.) വേണമെന്ന് ശ്രദ്ധിക്കുക
വ്യക്തമാക്കണം.

-f ഫയലിന്റെ പേര്
പാഴ്‌സ് ചെയ്യാനുള്ള അധിക ആർഗ്യുമെന്റുകൾ അടങ്ങിയ ഫയലിന്റെ പേര്.

-h റാങ്ക് കമാൻഡിനായി സഹായ വിവരങ്ങൾ പ്രദർശിപ്പിക്കുന്നു.

-പേരുകൾ-മാത്രം
വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, പ്രവർത്തിപ്പിക്കേണ്ട ആവശ്യമായ CDD ഫയൽനാമങ്ങൾ മാത്രം ഔട്ട്പുട്ട് ചെയ്യുന്നു
അവ പ്രവർത്തിപ്പിക്കേണ്ടതുണ്ട്. ഈ ഓപ്ഷൻ സജ്ജീകരിച്ചിട്ടില്ലെങ്കിൽ, ഒരു റിപ്പോർട്ട്-സ്റ്റൈൽ ഔട്ട്പുട്ട് ആണ്
കൂടുതൽ വിവരങ്ങൾ നൽകി. ഈ ഓപ്ഷൻ സ്ക്രിപ്റ്റുകളിൽ ഉപയോഗപ്രദമാണ്
സിഡിഡി ഫയൽനാമങ്ങൾ ഔട്ട്പുട്ടായി പ്രവർത്തിക്കണമെന്ന് മാത്രം.

-o ഫയലിന്റെ പേര്
ഫയലിന്റെ പേര് മുതൽ ഔട്ട്പുട്ട് റാങ്കിംഗ് വിവരങ്ങൾ. ഡിഫോൾട്ട് സ്റ്റാൻഡേർഡ് ഔട്ട്പുട്ടാണ്.

-ആവശ്യമുള്ളത്-സിഡിഡി ഫയലിന്റെ പേര്
റാങ്ക് ചെയ്യുന്നതിന് ആവശ്യമായ CDD ആയി കണക്കാക്കേണ്ട CDD യുടെ പേര് (അതായത്, അത് പാടില്ല
ഏതെങ്കിലും കാരണത്താൽ ഒഴിവാക്കിയിരിക്കുന്നു).

-ആവശ്യമായ-ലിസ്റ്റ് ഫയലിന്റെ പേര്
ആവശ്യമായ CDD-കൾ ആയി കണക്കാക്കേണ്ട CDD-കളുടെ ഒരു ലിസ്റ്റ് അടങ്ങിയിരിക്കുന്ന ഫയലിന്റെ പേര്
റാങ്ക് ചെയ്യാൻ. ഫയലിന്റെ പേരുകൾ വൈറ്റ്‌സ്‌പെയ്‌സ് അല്ലെങ്കിൽ ന്യൂലൈൻ പ്രതീകങ്ങൾ ഉപയോഗിച്ച് വേർതിരിക്കേണ്ടതാണ്
ഫയലിനുള്ളിൽ.

-v റാങ്ക് കമാൻഡ് പ്രവർത്തിപ്പിക്കുമ്പോൾ വെർബോസ് ഔട്ട്പുട്ട് പ്രദർശിപ്പിക്കുന്നതിന് കാരണമാകുന്നു. അത് ഔട്ട്പുട്ട് ചെയ്യുന്നു
റാങ്കിംഗ് അൽഗോരിതത്തിന്റെ ഓരോ വ്യത്യസ്ത ഘട്ടങ്ങളെക്കുറിച്ചും ഡയഗ്നോസ്റ്റിക് വിവരങ്ങൾ
റൺ-ടൈം, ഉൾപ്പെടുത്തിയ/ഒഴിവാക്കപ്പെട്ട CDD ഫയലുകളുടെ എണ്ണം, കവറേജിന്റെ എണ്ണം എന്നിവ ഉൾപ്പെടുന്നു
ഓരോ ഘട്ടത്തിലും റാങ്ക് ചെയ്ത CDDകൾ നേടിയ പോയിന്റുകൾ. ഈ വിവരങ്ങൾ ഉദ്ദേശിച്ചുള്ളതാണ്
റാങ്കിംഗ് അൽഗോരിതത്തിലും അതിന്റെ പ്രകടനത്തിലും താൽപ്പര്യമുള്ളവർക്ക് ഉപയോഗപ്രദമാണ്.

-ഭാരം ഉറപ്പിക്കുക അക്കം
നോൺ-യുണീക് എന്ന് റാങ്ക് ചെയ്യാൻ ഉപയോഗിക്കുന്ന അസെർഷൻ കവറേജിന്റെ ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
കവറേജ് പോയിന്റുകൾ. മൂല്യം അക്കം എന്നതിൽ ഉപയോഗിക്കുന്ന മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ്
-ഭാരം-ടോഗിൾ, -ഭാരം-ഓർമ്മ, -ഭാരം-ചീപ്പ്, -ഭാരം-എഫ്എസ്എം ഒപ്പം -ഭാര-രേഖ റാങ്ക്
കമാൻഡ് ഓപ്ഷനുകൾ.

-ഭാരം-ചീപ്പ് അക്കം
റാങ്ക് ചെയ്യാൻ ഉപയോഗിക്കുന്ന കോമ്പിനേഷൻ ലോജിക് കവറേജിനായി ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
അദ്വിതീയമല്ലാത്ത കവറേജ് പോയിന്റുകൾ. മൂല്യം അക്കം ഉപയോഗിക്കുന്ന മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ്
The -ഭാരം-ടോഗിൾ, -ഭാരം-ഓർമ്മ, -ഭാരം ഉറപ്പിക്കുക, -ഭാരം-എഫ്എസ്എം ഒപ്പം -ഭാര-രേഖ
റാങ്ക് കമാൻഡ് ഓപ്ഷനുകൾ.

-ഭാരം-എഫ്എസ്എം അക്കം
FSM നിലയ്ക്കും ഉപയോഗിച്ച സംസ്ഥാന ട്രാൻസിഷൻ കവറേജിനും ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
അദ്വിതീയമല്ലാത്ത കവറേജ് പോയിന്റുകൾ റാങ്ക് ചെയ്യുക. മൂല്യം അക്കം മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ്
ഉപയോഗിച്ചത് -ഭാരം-ടോഗിൾ, -ഭാരം-ഓർമ്മ, -ഭാരം-ചീപ്പ്, -ഭാരം ഉറപ്പിക്കുക ഒപ്പം
-ഭാര-രേഖ റാങ്ക് കമാൻഡ് ഓപ്ഷനുകൾ.

-ഭാര-രേഖ അക്കം
അദ്വിതീയമല്ലാത്ത കവറേജ് റാങ്ക് ചെയ്യാൻ ഉപയോഗിക്കുന്ന ലൈൻ കവറേജിന് ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
പോയിന്റുകൾ. മൂല്യം അക്കം എന്നതിൽ ഉപയോഗിക്കുന്ന മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ് -ഭാരം-ടോഗിൾ,
-ഭാരം-ഓർമ്മ, -ഭാരം-ചീപ്പ്, -ഭാരം-എഫ്എസ്എം ഒപ്പം -ഭാരം ഉറപ്പിക്കുക റാങ്ക് കമാൻഡ് ഓപ്ഷനുകൾ.

-ഭാരം-ഓർമ്മ അക്കം
നോൺ-യുണീക് കവറേജ് റാങ്ക് ചെയ്യാൻ ഉപയോഗിക്കുന്ന മെമ്മറി കവറേജിന്റെ ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
പോയിന്റുകൾ. മൂല്യം അക്കം എന്നതിൽ ഉപയോഗിക്കുന്ന മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ് -ഭാരം-ടോഗിൾ,
-ഭാര-രേഖ, -ഭാരം-ചീപ്പ്, -ഭാരം-എഫ്എസ്എം ഒപ്പം -ഭാരം ഉറപ്പിക്കുക റാങ്ക് കമാൻഡ് ഓപ്ഷനുകൾ.

-ഭാരം-ടോഗിൾ അക്കം
അദ്വിതീയമല്ലാത്ത കവറേജ് റാങ്ക് ചെയ്യാൻ ഉപയോഗിക്കുന്ന ടോഗിൾ കവറേജിനായി ആപേക്ഷിക വെയ്റ്റിംഗ് വ്യക്തമാക്കുന്നു
പോയിന്റുകൾ. മൂല്യം അക്കം എന്നതിൽ ഉപയോഗിക്കുന്ന മൂല്യങ്ങളുമായി ആപേക്ഷികമാണ് -ഭാരം-ഓർമ്മ,
-ഭാര-രേഖ, -ഭാരം-ചീപ്പ്, -ഭാരം-എഫ്എസ്എം ഒപ്പം -ഭാരം ഉറപ്പിക്കുക റാങ്ക് കമാൻഡ് ഓപ്ഷനുകൾ.

പെടുത്തിയിട്ടില്ല കമാൻറ്


ഒഴിവാക്കൽ കമാൻഡ് ഉപയോഗിച്ച് ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സാധുവാണ്:

-f ഫയലിന്റെ പേര്
ഒഴിവാക്കൽ കമാൻഡിന് കൂടുതൽ ഓപ്ഷനുകൾ അടങ്ങിയിരിക്കുന്ന ഒരു ഫയലിന്റെ പേര് വ്യക്തമാക്കുന്നു.
എന്നതിലേക്കുള്ള ഒരു കോളിന് ആവശ്യമുള്ളത്ര തവണ ഈ ഓപ്‌ഷൻ വ്യക്തമാക്കിയേക്കാം
കമാൻഡ് ഒഴിവാക്കുക.

-h ഒഴിവാക്കൽ കമാൻഡിനായി ഉപയോഗ വിവരങ്ങൾ സൃഷ്ടിക്കുന്നു.

-m പോകുന്ന ഏതെങ്കിലും കവറേജ് പോയിന്റുകളുമായി ബന്ധപ്പെടുത്താൻ ഒരു ഒഴിവാക്കൽ സന്ദേശത്തെ അനുവദിക്കുന്നു
ഉൾപ്പെടുത്തിയ സംസ്ഥാനത്തിൽ നിന്ന് ഒഴിവാക്കിയ അവസ്ഥയിലേക്ക്. കണ്ടുമുട്ടുന്ന ഓരോ കവറേജ് പോയിന്റിനും
ഈ ആവശ്യകത, ഒരു കാരണം നൽകാൻ ഉപയോക്താവിനോട് ആവശ്യപ്പെടും. കാരണം ആയിരിക്കാം
ഏത് നീളവും എത്ര വരികളും; എന്നിരുന്നാലും, എല്ലാ ഫോർമാറ്റിംഗ് പ്രതീകങ്ങളും (അതായത്,
ന്യൂലൈനുകൾ, ടാബുകൾ, അധിക സ്‌പെയ്‌സുകൾ മുതലായവ) നീക്കം ചെയ്യുകയും പകരം ഒരു സിംഗിൾ ഉപയോഗിക്കുകയും ചെയ്യും
പിന്നീട് പ്രദർശിപ്പിക്കുമ്പോൾ ഇടം. ഒരു സന്ദേശത്തിന്റെ ഇൻപുട്ട് അവസാനിപ്പിക്കാൻ, ഒരു റിട്ടേൺ അമർത്തുക,
ഒരൊറ്റ പിരീഡ് (.) പ്രതീകം നൽകി വീണ്ടും റിട്ടേൺ അമർത്തുക. അവസാന കാലഘട്ടം
സ്വഭാവം ഒഴിവാക്കൽ സന്ദേശത്തിന്റെ ഭാഗമാകില്ല.

-p എല്ലാ നിർദ്ദിഷ്‌ട കവറേജ് പോയിന്റുകളും അവയുടെ നിലവിലെ ഒഴിവാക്കൽ നില പ്രിന്റ് ചെയ്യുന്നതിന് കാരണമാകുന്നു
ഒഴിവാക്കാനുള്ള കാരണം (ഒഴിവാക്കപ്പെട്ട കവറേജ് പോയിന്റിനായി ഒന്ന് നിലവിലുണ്ടെങ്കിൽ) നിലവാരത്തിലേക്ക്
ഔട്ട്പുട്ട്. ഈ ഓപ്ഷൻ വ്യക്തമാക്കിയിട്ടുണ്ടെങ്കിൽ, -m ഓപ്ഷൻ അവഗണിക്കപ്പെടും.

ഉപയോഗിക്കുന്നു മൂടി AS A വ്പി മൊഡ്യൂൾ


ഒരു VCD, LXT2 അല്ലെങ്കിൽ FST ഫയൽ പാഴ്‌സ് ചെയ്യാൻ കവേഡിന്റെ സ്കോർ കമാൻഡ് ഉപയോഗിക്കുന്നതിന് പുറമേ
കവറേജ് വിവരങ്ങൾ, കവർഡ് ഒരു സിമുലേറ്ററിനുള്ളിൽ ഒരു VPI മൊഡ്യൂളായും ഉപയോഗിക്കാം
ഈ വിവരം പുറത്തെടുക്കുക. ഒരു ഡംപ്‌ഫൈൽ റീഡറിനേക്കാൾ ഒരു VPI ആയി കവർഡ് ഉപയോഗിക്കുന്നതിന്റെ ഗുണങ്ങൾ
ഇനിപ്പറയുന്നവ ഉൾപ്പെടുത്തുക. ആദ്യം, വിസിഡി ഫയലുകൾ വളരെ വലുതായിരിക്കും, പ്രത്യേകിച്ച് ദീർഘനേരം
വിലയേറിയ ഡിസ്ക് സ്പേസ് ഉപയോഗിച്ച് അനുകരണങ്ങൾ. രണ്ടാമതായി, നിങ്ങൾ ഒരു സിമുലേറ്റർ ഉപയോഗിക്കുകയാണെങ്കിൽ
VCD, LXT2 അല്ലെങ്കിൽ FST എന്നിവയിൽ നിന്ന് വ്യത്യസ്തമായ ഫോർമാറ്റിൽ ഫയലുകൾ ഡംപ് ചെയ്യുന്നു, നിങ്ങൾ ഈ ഡംപ് പരിവർത്തനം ചെയ്യാൻ ആഗ്രഹിക്കുന്നു
ഈ പതിപ്പുകളിലൊന്നിലേക്കുള്ള ഫയൽ തരങ്ങൾ, ഡിസ്കിന്റെ സ്ഥലത്തിന്റെയും സമയത്തിന്റെയും ചെലവ് സൃഷ്ടിക്കാൻ കഴിയും
കവർ ചെയ്ത ഡംപ്ഫയലുകൾക്ക് അഭികാമ്യമല്ല. കൂടാതെ, കവർഡ് ഒരു വിപിഐ ആയി ഉപയോഗിക്കുന്നുണ്ടെങ്കിലും
മൊഡ്യൂൾ നിങ്ങളുടെ സിമുലേഷൻ വേഗത കുറയ്ക്കും, അത് മിക്കവാറും മൊത്തം സമയം ചെലവഴിക്കും
നിങ്ങളുടെ ഡിസൈൻ അനുകരിക്കുന്നതും ഒരു ഘട്ടത്തിൽ ഡിസൈൻ സ്കോർ ചെയ്യുന്നതും അങ്ങനെ ചെയ്യുന്നതിനേക്കാൾ ചെറുതായിരിക്കും
രണ്ട് ഘട്ടങ്ങൾ. തൽഫലമായി, കവേഡിന്റെ കോൺഫിഗർ യൂട്ടിലിറ്റിക്ക് വിപിഐ-റെഡി ലൈബ്രറികൾ സൃഷ്ടിക്കാൻ കഴിയും
ഇനിപ്പറയുന്ന സ്വതന്ത്രവും വാണിജ്യപരവുമായ സിമുലേറ്ററുകൾ (ഐകാരസ് വെരിലോഗ്, സിവിആർ, വിസിഎസ്).

ഉറവിടത്തിൽ നിന്ന് കവർഡ് സൃഷ്‌ടിക്കുമ്പോൾ VPI-റെഡി ലൈബ്രറി ഫയലുകൾ സ്വയമേവ നിർമ്മിക്കുന്നതിന്,
എന്നതിൽ "കോൺഫിഗർ" യൂട്ടിലിറ്റി പ്രവർത്തിപ്പിക്കുമ്പോൾ ഇനിപ്പറയുന്നവയിൽ ഒന്നോ അതിലധികമോ വ്യക്തമാക്കുക
അടിസ്ഥാന കവർഡ് ഡയറക്‌ടറി: --with-iv= , --with-vcs=
പാത>, --with-cver= . കവർഡ് ക്രമീകരിച്ച ശേഷം, ടൈപ്പ് ചെയ്യുക
'ഉണ്ടാക്കുക', 'ഇൻസ്റ്റാൾ ചെയ്യുക'. ഇത് വിപിഐ-റെഡി ലൈബ്രറി ഫയലുകൾ ഇൻസ്‌റ്റാൾ ചെയ്യും
ഇൻസ്റ്റലേഷൻ libexec ഡയറക്ടറി (സ്വതവേ, ഈ പാത്ത് /usr/local/libexec ആയിരിക്കും).

നിങ്ങൾ ഡിസൈൻ കംപൈൽ ചെയ്യാൻ തയ്യാറാകുന്നതിന് മുമ്പ്, നിങ്ങൾ ആദ്യം ഒരു സിഡിഡി ഫയൽ സൃഷ്ടിക്കണം, ഒരു ടോപ്പ് ലെവൽ
വെരിലോഗ് ഫയലും ഒരു PLI ടേബിൾ ഫയലും (അവസാന ഫയൽ VCS കംപൈലറിന് മാത്രമേ ആവശ്യമുള്ളൂ).
എന്ന് വ്യക്തമാക്കിയാണ് ഇത് ചെയ്യുന്നത് -വിപിഐ (ഫയലിന്റെ പേര്) കവേഡിന്റെ സ്കോർ കമാൻഡിലേക്കുള്ള ഓപ്ഷൻ. അല്ലെങ്കിൽ
ഫയലിന്റെ പേര് -vpi ന് ശേഷം വ്യക്തമാക്കിയിരിക്കുന്നു, ഫയലുകൾ cover_vpi.v, cover_vpi.ta b എന്നിവ ആയിരിക്കും
സൃഷ്ടിച്ച കവറേജ് ഫയലിനൊപ്പം സൃഷ്ടിച്ചു. ഈ ഘട്ടം മാത്രമേ ആവശ്യമുള്ളൂ എന്നത് ശ്രദ്ധിക്കുക
ഡിസൈൻ ഫയലുകൾ മാറുന്നില്ലെങ്കിൽ ഒരു പ്രാവശ്യം നടത്തി. നിങ്ങൾ ഇപ്പോൾ കംപൈൽ ചെയ്യാൻ തയ്യാറാണ്
സിമുലേറ്റർ.

നിങ്ങൾ ഒരു Icarus Verilog സിമുലേഷൻ കംപൈൽ ചെയ്യുകയാണെങ്കിൽ, '-m എന്ന് ചേർക്കുക
/usr/local/libexec/covered.vpi cover_vpi.v' 'iverilog' കമാൻഡ്-ലൈനിലേക്ക്. ഒരിക്കല്
കംപൈലേഷൻ പൂർത്തിയായി, നിങ്ങൾ സാധാരണ പോലെ ജനറേറ്റ് ചെയ്ത എക്സിക്യൂട്ടബിൾ ഫയൽ പ്രവർത്തിപ്പിക്കുക.

നിങ്ങൾ ഒരു CVER സിമുലേഷൻ കംപൈൽ ചെയ്യുകയാണെങ്കിൽ, ചേർക്കുക
'+loadvpi=/usr/local/libexec/covered.cver.so:vpi_compat_bootstrap cover_vpi.v'
'cver' കമാൻഡ്-ലൈൻ.

നിങ്ങൾ ഒരു വിസിഎസ് സിമുലേഷൻ കംപൈൽ ചെയ്യുകയാണെങ്കിൽ, '+vpi -load ചേർക്കുക
/usr/local/libexec/covered.vcs.so:covered_register cover_vpi.v'-ലേക്ക് 'vcs'
കമാൻഡ്-ലൈൻ. കംപൈലേഷൻ പൂർത്തിയായിക്കഴിഞ്ഞാൽ, ജനറേറ്റ് ചെയ്ത എക്സിക്യൂട്ടബിൾ ഫയൽ നിങ്ങളായി പ്രവർത്തിപ്പിക്കുക
സാധാരണയായി.

നിങ്ങൾ ഒരു NC-Verilog സിമുലേഷൻ കംപൈൽ ചെയ്യുകയാണെങ്കിൽ, ലോഡ് ചെയ്യാൻ NC-Verilog-ന്റെ irun കമാൻഡിലേക്ക് മാറുക
പൊതിഞ്ഞ പങ്കിട്ട ഒബ്‌ജക്റ്റ്: '-loadvpi /usr/local/libexec/covered.ncv.so:covered_register'
കൂടാതെ '-access +rwc' ഉപയോഗിച്ച് എല്ലാ ആക്‌സസ്സ് പ്രാപ്തമാക്കുക. നിങ്ങൾക്ക് $covered_sim കോൾ ഹാർഡ്കോഡ് ചെയ്യാം
നിങ്ങളുടെ RTL അല്ലെങ്കിൽ -input input.tcl ചേർത്ത് CLI ഉപയോഗിച്ച് നിങ്ങൾക്ക് ഇത് ചലനാത്മകമായി പ്രവർത്തിപ്പിക്കാൻ കഴിയും
irun ലേക്ക് മാറുക. input.tcl ഫയൽ താഴെ കാണുന്നതുപോലെയും tb.dut ആണ്
കവറേജ് ഉദാഹരണം:
വിളിക്കുക -systf {$covered_sim} {"scored.cdd"} tb.dut
ഓടുക

അത് പ്രവർത്തിപ്പിക്കുമ്പോൾ ജനറേറ്റഡ് എക്സിക്യൂട്ടബിളിലേക്ക് കൈമാറാൻ കഴിയുന്ന രണ്ട് പ്ലസ്സാർഗുകൾ ഉണ്ട്
പൊതിഞ്ഞത് പാഴ്‌സ് ചെയ്യും. '+covered_cdd= ' ഓപ്‌ഷൻ കവർഡ് ഔട്ട്‌പുട്ട് ചെയ്യാൻ ഇടയാക്കും
വ്യക്തമാക്കിയ CDD ഫയലിലേക്ക് ഡിസൈൻ ഉള്ളടക്കങ്ങൾ സ്കോർ ചെയ്തു . ഇത് ഒന്നിലധികം റൺസ് അനുവദിക്കുന്നു
ഒരു പുനഃസംയോജനം ആവശ്യമില്ലാതെ നിരവധി വ്യത്യസ്ത CDD ഫയലുകൾ സൃഷ്ടിക്കുന്നതിനുള്ള സിമുലേറ്ററിന്റെ
സംഭവിക്കുക. '+covered_debug' ഓപ്‌ഷൻ കവർഡിനെ അമിതമായ ഔട്ട്‌പുട്ട് ഉപേക്ഷിക്കാൻ ഇടയാക്കും
സിമുലേഷൻ സമയത്ത് അതിന്റെ ആന്തരിക റൺ-ടൈം അവസ്ഥയെക്കുറിച്ച്. ഈ ഔട്ട്പുട്ട് മാത്രമേ ജനറേറ്റ് ചെയ്യപ്പെടുകയുള്ളൂ
--enable-debug ഓപ്ഷൻ ഉപയോഗിച്ചാണ് കവർ ചെയ്തതെങ്കിൽ. ഈ plusarg ഓപ്ഷൻ പാടില്ല
കവർഡ് ഇൻ ഡെവലപ്പർമാരെ സഹായിക്കാൻ പ്രാഥമികമായി ഉദ്ദേശിച്ചിട്ടുള്ളതിനാൽ സാധാരണ ഉപയോക്താക്കൾ ഇത് ഉപയോഗിക്കുന്നു
ഡീബഗ്ഗിംഗ്.

AUTHORS


ട്രെവർ വില്യംസ്[ഇമെയിൽ പരിരക്ഷിച്ചിരിക്കുന്നു]>

onworks.net സേവനങ്ങൾ ഉപയോഗിച്ച് കവർ ചെയ്ത ഓൺലൈൻ ഉപയോഗിക്കുക


സൗജന്യ സെർവറുകളും വർക്ക്സ്റ്റേഷനുകളും

Windows & Linux ആപ്പുകൾ ഡൗൺലോഡ് ചെയ്യുക

ലിനക്സ് കമാൻഡുകൾ

Ad