عربيالفرنسيةالإسبانية

Ad


OnWorks فافيكون

iverilog - عبر الإنترنت في السحابة

قم بتشغيل ierilog في موفر الاستضافة المجاني OnWorks عبر Ubuntu Online أو Fedora Online أو محاكي Windows عبر الإنترنت أو محاكي MAC OS عبر الإنترنت

هذا هو الأمر iverilog الذي يمكن تشغيله في مزود الاستضافة المجانية OnWorks باستخدام إحدى محطات العمل المجانية المتعددة على الإنترنت مثل Ubuntu Online أو Fedora Online أو محاكي Windows عبر الإنترنت أو محاكي MAC OS عبر الإنترنت

برنامج:

اسم


iverilog - مترجم إيكاروس فيريلوج

موجز


إيفريلوج [-ESVv] [-Bpath] [-ccmdfile | -fcmdfile] [-Dmacro [= defn]] [-pflag = القيمة] [-dname]
[-g1995 | -g2001 | -g2005 | -g ] [-Iincludedir] [-Module] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin / typ / max] [-Wclass] [-ypath] sourcefile

الوصف


إيفريلوج هو مترجم يقوم بترجمة شفرة مصدر Verilog إلى برامج قابلة للتنفيذ لـ
محاكاة ، أو تنسيقات netlist أخرى لمزيد من المعالجة. المدعومة حاليا
الأهداف VVP للمحاكاة و FPGA للتوليف. يتم إضافة أنواع الأهداف الأخرى كـ
يتم تنفيذ مولدات رمز.

OPTIONS


إيفريلوج يقبل الخيارات التالية:

-Bقاعدةإيفريلوج يستخدم البرنامج البرامج الخارجية وملفات التكوين للمعالجة المسبقة
وتجميع مصدر Verilog. عادةً ما يكون المسار المستخدم لتحديد موقع هذه الأدوات هو
المدمج في إيفريلوج برنامج. ومع ذلك ، فإن -B يسمح التبديل للمستخدم بالاختيار
مجموعة مختلفة من البرامج. يتم استخدام المسار المحدد لتحديد الموقع com.ivlpp, IVL، الشفرة
المولدات ووحدات VPI.

-cملف -fملف
تحدد هذه العلامات ملف إدخال يحتوي على قائمة بملفات مصدر Verilog.
هذا مشابه لل أمر ملف من محاكيات Verilog الأخرى ، من حيث أنها ملف
الملف الذي يحتوي على أسماء الملفات بدلاً من أخذها في سطر الأوامر. يرى
أمر ملفات أدناه.

-Dالماكرو يعرف الماكرو الماكرو مع السلسلة "1" كتعريف لها. هذا النموذج هو عادة
تستخدم فقط لتشغيل شروط ifdef في مصدر Verilog.

-Dماكرو = defn
يعرف الماكرو الماكرو as ديفين.

-dالاسم تنشيط فئة من رسائل تصحيح أخطاء المترجم. ال -d يمكن استخدام التبديل كـ
في كثير من الأحيان حسب الضرورة لتنشيط جميع الرسائل المطلوبة. الأسماء المدعومة هي
النطاقات ، شجرة التقييم ، التفصيل ، والتوليف 2 ؛ يتم تجاهل أي أسماء أخرى.

-E قم بإجراء معالجة مسبقة لمصدر Verilog ، لكن لا تقم بتجميعه. ملف الإخراج هو
مدخلات Verilog ، ولكن مع توسعة وإزالة إدخالات الملفات ومراجع الماكرو.
هذا مفيد ، على سبيل المثال ، للمعالجة المسبقة لمصدر Verilog للاستخدام من قبل الآخرين
المجمعين.

-G1995|-G2001|-g2001-noconfig|-G2005
حدد لغة Verilog جيل لدعم في المترجم. هذا يختار
ما بين IEEE1364-1995, IEEE1364-2001الطرق أو IEEE1364-2005. عادة ، إيكاروس فيريلوج
الافتراضي إلى أحدث جيل معروف من اللغة. هذا العلم هو الأكثر فائدة
لتقييد اللغة بمجموعة تدعمها أدوات أجيال محددة ، من أجل
التوافق مع الأدوات الأخرى.

-gverilog-ams|-Gno-verilog-ams
تمكين أو تعطيل الدعم (الافتراضي) لـ Verilog-AMS. القليل جدا من Verilog-AMS
وظائف محددة مدعومة حاليًا.

-g حدد|-لا-حدد
تمكين أو تعطيل (افتراضي) تحديد دعم الحظر. عند التمكين ، حدد الحظر
تم وضع رمز. عند التعطيل ، يتم تحديد الكتل التي يتم تحليلها ولكن يتم تجاهلها. حدد
عادةً لا تكون هناك حاجة إلى الكتل لمحاكاة RTL ، وفي الواقع يمكن أن تضر
أداء المحاكاة. ومع ذلك ، فإن تعطيل كتل التحديد يقلل من الدقة
من المحاكاة كاملة التوقيت.

-Gstd- تشمل|-Gno- الأمراض المنقولة جنسيا- تشمل
قم بتمكين (افتراضي) أو تعطيل البحث عن تثبيت قياسي
الدليل بعد كل الدلائل الأخرى الصريحة. يشمل هذا المعيار
الدليل هو مكان مناسب لتثبيت ملفات الرأس القياسية التي يستخدمها Verilog
قد يشمل البرنامج.

-غني-تشمل|- رقم نسبي - تضمين
تمكين أو تعطيل (افتراضيًا) إضافة دليل الملفات المحلية إلى بداية
مسار البحث عن ملف التضمين. هذا يسمح بتضمين الملفات بالنسبة إلى
الملف الحالي ليس الملفات الأكثر شيوعًا توجد فقط في دليل العمل أو
في مسار البحث عن ملف التضمين المحدد.

-gxtypes|-gno-xtypes
تمكين (افتراضي) أو تعطيل الدعم للأنواع الموسعة. تمكين الأنواع الممتدة
يسمح للأنواع الجديدة التي يدعمها Icarus Verilog كامتدادات تتجاوز نطاق
خط الأساس فيريلوج. قد يكون من الضروري تعطيل الأنواع الموسعة في حالة تجميع التعليمات البرمجية
التي تتعارض مع عدد قليل من الكلمات الرئيسية الجديدة المستخدمة لتنفيذ نظام الكتابة.

-جيو-المدى-خطأ|-Gno-io-range-error
تتطلب المعايير أن يكون للمنفذ المتجه نطاقات مطابقة لمنفذه
إعلان وكذلك أي إعلان صافي / سجل. كانت ممارسة شائعة في
الماضي لتحديد نطاق إعلان net / register وبعض الأدوات فقط
لا يزال يسمح بذلك. بشكل افتراضي ، يتم الإبلاغ عن أي عدم تطابق على أنه خطأ. استخدام
-Gno-io-range-error سيصدر تحذيرًا بدلاً من الخطأ الفادح للقضية
لشبكة / سجل متجه وبيان منفذ عددي.

-gstrict- كاليفورنيا- إيفال|-Gno-صارم-كاليفورنيا-إيفال
يتطلب المعيار ذلك إذا كان هناك أي مدخلات لتعبير مهمة مستمرة
يغير القيمة ، يتم إعادة تقييم التعبير بالكامل. بشكل افتراضي ، أجزاء من
لا يتم إعادة تقييم التعبير الذي لا يعتمد على قيمة (قيم) الإدخال التي تم تغييرها.
إذا احتوى التعبير على استدعاء لدالة لا تعتمد فقط على
قيم الإدخال أو التي لها آثار جانبية ، سيختلف السلوك الناتج عنها
التي تتطلبها المعيار. استخدام -gstrict- كاليفورنيا- إيفال سوف يجبر المعيار
سلوك متوافق (مع بعض الخسارة في الأداء).

-Iبما في ذلك
إلحاق الدليل بما في ذلك إلى قائمة الدلائل التي تم البحث عنها عن Verilog تشمل
الملفات. ال -I يمكن استخدام رمز التبديل عدة مرات لتحديد عدة دلائل لـ
البحث ، يتم البحث في الدلائل بالترتيب الذي تظهر به في سطر الأوامر.

-Mمسار اكتب في الملف المحدد بواسطة المسار قائمة بالملفات التي تساهم في ملف
تجميع التصميم. يتضمن هذا الملفات التي تم تضمينها بواسطة التضمين
التوجيهات والملفات التي يتم تحميلها تلقائيًا بواسطة دعم المكتبة. الإخراج
هو اسم ملف واحد في كل سطر ، بدون مسافة بادئة أو لاحقة.

-mوحدة
أضف هذه الوحدة إلى قائمة وحدات VPI ليتم تحميلها بواسطة المحاكاة. كثير
يمكن تحديد الوحدات النمطية ، وسيتم تحميل جميع الوحدات بالترتيب المحدد. ال
وحدة النظام ضمنية ويتم تضمينها دائمًا. إذا كان ملف جدول وظيفة النظام
( .sft) للوحدة التي سيتم تحميلها تلقائيًا.

-Nمسار يستخدم هذا لتصحيح المترجم الصحيح. تفريغ قائمة netlist النهائية من
تصميم للملف المحدد. خلاف ذلك لا يؤثر على تشغيل
مترجم. يحدث التفريغ بعد وضع التصميم وتحسينه.

-o اسم الملف
ضع الإخراج في الملف اسم الملف. إذا لم يتم تحديد اسم ملف الإخراج ، إيفريلوج
يستخدم الاسم الافتراضي أ.

-pالعلم = القيمة
قم بتعيين قيمة لعلم محدد الهدف. ال -p التبديل يمكن استخدامها في كثير من الأحيان
من الضروري تحديد جميع الأعلام المطلوبة. العلامات التي يتم استخدامها تعتمد على
الهدف المحدد والموصوف في الوثائق الخاصة بالهدف. أعلام
التي لم يتم استخدامها يتم تجاهلها.

-S تركيب. عادة ، إذا كان الهدف يمكنه قبول الأوصاف السلوكية ، فإن
سيترك المترجم العمليات في شكل سلوكي. ال -S التبديل يسبب
المترجم لإجراء التجميع حتى لو لم يكن ضروريًا للهدف. إذا كان
نوع الهدف هو تنسيق netlist ، و -S التبديل غير ضروري وليس له أي تأثير.

-s com.topmodule
حدد وحدة المستوى الأعلى للتفصيل. سيختار Icarus Verilog افتراضيًا
الوحدات النمطية التي لم يتم إنشاء مثيل لها في أي وحدات نمطية أخرى ، ولكن في بعض الأحيان لا يتم ذلك
كافية ، أو إنشاء مثيل لعدد كبير جدًا من الوحدات. إذا حدد المستخدم واحدًا أو أكثر
وحدات الجذر مع -s أعلام ، ثم سيتم استخدامها كوحدات جذر بدلاً من ذلك.

-Tالحد الأدنى | النوع | الحد الأقصى
استخدم رمز التبديل هذا لتحديد الحد الأدنى أو الكتابة أو الحد الأقصى من الأوقات من الحد الأدنى: الكتابة: الحد الأقصى من التعبيرات.
عادة ، سيستخدم المترجم ببساطة قيمة الكتابة من هذه التعبيرات
(طباعة تحذير لأول عشرة يعثر عليه) ولكن هذا المفتاح سيخبر ملف
المترجم صراحة أي قيمة لاستخدامها. سيؤدي هذا إلى منع التحذير من أن ملف
المترجم يقوم بالاختيار.

-tالهدف
استخدم رمز التبديل هذا لتحديد تنسيق الإخراج الهدف. انظر الأهداف قسم أدناه
للحصول على قائمة تنسيقات الإخراج الصالحة.

-v قم بتشغيل الرسائل المطولة. سيؤدي هذا إلى طباعة أسطر الأوامر التي يتم تنفيذها إلى
إجراء التجميع الفعلي ، جنبًا إلى جنب مع معلومات الإصدار من مختلف
بالإضافة إلى إصدار المنتج ككل. ستلاحظ
أن تتضمن سطور الأوامر مرجعًا لملف مفتاح مؤقت يتم تمريره
المعلومات إلى المترجم المناسب. لمنع هذا الملف من الحذف في
نهاية العملية ، قم بتوفير اسم ملف خاص بك في متغير البيئة
IVERILOG_ICONFIG.

-V اطبع نسخة المترجم واخرج.

-Wفئة قم بتشغيل فئات مختلفة من التحذيرات. انظر تحذير أنواع قسم أدناه ل
أوصاف مجموعات التحذير المختلفة. إذا كانت متعددة -W مفاتيح تستخدم ،
مجموعة التحذير هي اتحاد كل الفئات المطلوبة.

-yلبدير
قم بإلحاق الدليل بمسار بحث وحدة المكتبة. عندما يجد المترجم ملف
وحدة غير محددة ، فهي تبحث في هذه الدلائل عن الملفات ذات الاسم الصحيح.

-Yلاحقة
أضف لاحقة إلى قائمة لواحق اسم الملف المقبولة المستخدمة عند البحث في ملف
مكتبة للخلايا. القائمة الافتراضية للإدخال الفردي .v.

MODULE مكتبات


يدعم برنامج التحويل البرمجي Icarus Verilog مكتبات الوحدات كمجلدات تحتوي على Verilog
ملفات المصدر. أثناء التفسير ، يلاحظ المترجم إنشاء مثيل لـ undefined
أنواع الوحدات. إذا حدد المستخدم أدلة البحث في المكتبة ، فسيقوم المترجم بالبحث
دليل الملفات التي تحمل اسم نوع الوحدة النمطية المفقودة. إذا وجدت مثل هذا الملف ،
يقوم بتحميله كملف مصدر Verilog ، يحاولون مرة أخرى تطوير الوحدة.

يجب أن تحتوي ملفات وحدة المكتبة على وحدة واحدة فقط ، ولكن هذا ليس شرطًا.
قد تشير وحدات المكتبة إلى وحدات أخرى في المكتبة أو في التصميم الرئيسي.

الأهداف


يدعم مترجم Icarus Verilog مجموعة متنوعة من الأهداف ، لأغراض مختلفة ، و
-t يستخدم التبديل لتحديد الهدف المطلوب.

فارغة الهدف الخالي لا يؤدي إلى إنشاء رمز. من المفيد التحقق من
بناء جملة مصدر Verilog.

VVP هذا هو الافتراضي. يُنشئ هدف vvp رمزًا لوقت تشغيل vvp. الإخراج
هو برنامج كامل يحاكي التصميم ولكن يجب تشغيله بواسطة VVP
أمر.

FPGA هذا هدف تخليقي يدعم مجموعة متنوعة من أجهزة fpga ، معظمها بواسطة EDIF
تنسيق الإخراج. يمكن أن يولد مولد كود Icarus Verilog fpga كاملاً
التصميمات أو وحدات ماكرو EDIF التي يمكن استيرادها بدورها إلى تصميمات أكبر بواسطة أخرى
أدوات. ال FPGA الهدف يعني التوليف -S العلم.

vhdl ينتج عن هذا الهدف ترجمة VHDL لقائمة شبكة Verilog. الناتج هو ملف
ملف واحد يحتوي على كيانات VHDL المقابلة للوحدات النمطية في Verilog
مصدر الرمز. لاحظ أنه يتم دعم مجموعة فرعية فقط من لغة Verilog. يرى
لمزيد من المعلومات ويكي.

تحذير أنواع


هذه هي أنواع التحذيرات التي يمكن تحديدها بواسطة -W يُحوّل. كل التحذير
أنواع (بخلاف من جميع) يمكن أيضًا أن تكون مسبوقة بـ الجوى لإيقاف هذا التحذير. هذا هو
الأكثر فائدة بعد أ الجدار شاحن حجة لقمع أنواع التحذير المعزولة.

من جميع يتيح هذا الخيار الضمني و portbind و select-range و timecale و
فئات تحذير حساسية - مجموعة كاملة.

ضمني
يتيح ذلك التحذيرات لإنشاء الإعلانات الضمنية. على سبيل المثال ، إذا كان ملف
يتم استخدام السلك القياسي X ولكن لم يتم الإعلان عنه في مصدر Verilog ، سيؤدي ذلك إلى طباعة ملف
تحذير عند استخدامه لأول مرة.

بورتبيند
يتيح ذلك التحذيرات لمنافذ عمليات إنشاء مثيل للوحدة غير المتصلة
ولكن ربما ينبغي أن يكون. ستؤدي منافذ الإدخال المتدلية ، على سبيل المثال ، إلى إنشاء ملف
تحذير.

اختر نطاقا
يتيح ذلك التحذيرات للتحديدات الثابتة خارج الحدود. وهذا يشمل جزئي أو
تحديدات خارج النطاق بالكامل بالإضافة إلى تحديد يحتوي على "bx أو" bz في ملف
مؤشر.

الجدول الزمني
يتيح هذا تحذيرات للاستخدام غير المتسق لتوجيه مقياس الوقت. يكتشف
إذا كانت بعض الوحدات لا تحتوي على مقياس زمني ، أو إذا كانت الوحدات ترث مقياسًا زمنيًا من وحدات أخرى
ملف. كلاهما يعني على الأرجح أن النطاقات الزمنية غير متسقة ، وتوقيت المحاكاة
يمكن أن يكون مربكًا ويعتمد على أمر التجميع.

انفلوب يتيح ذلك التحذيرات للعبارات دائمًا التي قد تحتوي على حلقات لا نهائية لوقت التشغيل
(له مسارات بدون تأخير أو بدون تأخير). لم يتم تضمين فئة التحذيرات هذه في الجدار شاحن
وبالتالي لا يحتوي على ملف الجوى البديل. ستكون رسالة خطأ فادح دائمًا
طبع عندما يتمكن المترجم من تحديد أنه سيكون هناك بالتأكيد عدد لانهائي
حلقة (جميع المسارات ليس لها تأخير أو لا يوجد تأخير).

عندما تشك في أن تعليمة Always تنتج حلقة لانهائية في وقت التشغيل ، استخدم هذا
علم للعثور على العبارات دائمًا التي تحتاج إلى التحقق من منطقها. إنها
توقع أن العديد من التحذيرات ستكون إيجابية كاذبة ، لأن الكود يعالج
قيمة جميع المتغيرات والإشارات غير محددة.

حساسية-ناقل-كامل
يتيح ذلك التحذيرات عند تحديد جزء داخل عبارة "@ * دائمًا"
يؤدي إلى إضافة المتجه بأكمله إلى قائمة الحساسية الضمنية.
على الرغم من أن هذا السلوك منصوص عليه في معيار IEEE ، إلا أنه ليس ما قد يحدث
متوقعة ويمكن أن يكون لها آثار على الأداء إذا كان المتجه كبيرًا.

حساسية - مجموعة كاملة
يتيح ذلك التحذيرات عند تحديد كلمة داخل عبارة "@ * دائمًا"
يؤدي إلى إضافة المصفوفة بأكملها إلى قائمة الحساسية الضمنية. بالرغم من
هذا السلوك منصوص عليه في معيار IEEE ، وليس ما يمكن أن يكون
متوقع ويمكن أن يكون له آثار على الأداء إذا كانت الصفيف كبيرة.

نظام FUNCTION الجدول FILES


إذا كان اسم الملف المصدر كملف .sft لاحقة ، ثم يتم اعتبارها جدول وظائف النظام
ملف. يتم استخدام ملف جدول وظائف النظام لوصف أنواع الإرجاع للمترجم
لوظائف النظام. هذا ضروري لأن المترجم يحتاج إلى هذه المعلومات
التعبيرات التفصيلية التي تحتوي على وظائف النظام هذه ، ولكن لا يمكنها تشغيل sizetf
يعمل لأنه ليس لديه وقت التشغيل.

تنسيق الجدول هو ASCII ، وظيفة واحدة لكل سطر. يتم تجاهل الأسطر الفارغة ، و
الأسطر التي تبدأ بـ "#الشخصية هي سطور تعليق. يبدأ كل سطر ليس به تعليق
باسم الوظيفة ، ثم نوع vpi (أي vpiSysFuncReal). الأنواع التالية هي
المدعومة:

vpiSysFuncReal
ترجع الدالة قيمة حقيقية / حقيقية.

vpiSysFuncInt
تقوم الدالة بإرجاع عدد صحيح.

vpiSysFuncSized
ترجع الدالة متجهًا بالعرض المحدد ، وتكون موقعة أو غير موقعة
حسب العلم.

COMMAND FILES


يسمح ملف الأوامر للمستخدم بوضع أسماء الملفات المصدر وسطر أوامر معين
ينتقل إلى ملف نصي بدلاً من سطر أوامر طويل. يمكن أن تتضمن ملفات الأوامر C
أو تعليقات نمط C ++ ، وكذلك تعليقات # ، إذا كان # يبدأ السطر.

ملف الاسم
يتم استخدام اسم ملف بسيط أو مسار ملف ليكون اسم ملف مصدر Verilog.
يبدأ المسار بأول حرف ليس مسافة بيضاء. المتغيرات
استبدالها في أسماء الملفات.

-c كمدفايل -f كمدفايل
A -c or -f الرمز المميز يسبق ملف الأمر ، تمامًا كما يفعل في الأمر
خط. قد يكون ملف cmdfile في نفس السطر أو السطر التالي بدون تعليق.

-y لبدير
A -y الرمز المميز يسبق دليل مكتبة في ملف الأوامر ، تمامًا كما يفعل
في سطر الأوامر. المعلمة إلى -y قد يكون العلم على نفس السطر أو
السطر التالي بدون تعليق.

المتغيرات في لبدير يتم استبدالها.

+ incdir +بما في ذلك
+ incdir + يعطي الرمز المميز في ملفات الأوامر أدلة للبحث عن ملفات التضمين
بنفس الطريقة التي -I تعمل الأعلام في سطر الأوامر. والفرق هو
هذا المضاعف + متضمن الدلائل هي معلمات صالحة لملف واحد + incdir +
الرمز المميز ، على الرغم من أنه قد يكون لديك أيضًا عدة ملفات + incdir + خطوط.

المتغيرات في بما في ذلك يتم استبدالها.

+ libext +تحويلة
+ libext الرمز المميز في ملفات الأوامر خمسة امتدادات الملفات لتجربتها عند البحث عن ملف
ملف المكتبة. هذا مفيد بالتزامن مع -y أعلام لسرد اللواحق لمحاولة
في كل دليل قبل الانتقال إلى دليل المكتبة التالي.

+ libdir +دير
هذه طريقة أخرى لتحديد أدلة المكتبة. انظر العلم -y.

+ libdir-nocase +دير
هذا مثل + لبدير بيان ، ولكن تم الإعلان عن أسماء الملفات داخل الدلائل
هنا غير حساس لحالة الأحرف. لا يلزم أن يتطابق اسم الوحدة النمطية المفقودة في بحث مع
حالة اسم الملف ، طالما أن الأحرف صحيحة. على سبيل المثال ، يطابق "foo"
"Foo.v" ولكن ليس "bar.v".

+ تحديد +اسم=قيمنا
+ تحديد + الرمز المميز هو نفسه -D الخيار في سطر الأوامر. القيمة
جزء من الرمز هو اختياري.

+ مقياس +قيمنا
+ مقياس + يتم استخدام الرمز المميز لتعيين مقياس الوقت الافتراضي للمحاكاة.
هذه هي الوحدات الزمنية والدقة قبل أي توجيه للمقياس الزمني أو بعد
`إعادة تعيين كل التوجيه. الافتراضي هو 1s / 1s.

+ toupper- اسم الملف
يؤدي هذا الرمز المميز إلى ترجمة أسماء الملفات بعد ذلك في ملف الأوامر إلى
الأحرف الكبيرة. هذا يساعد في المواقف التي يمر فيها الدليل عبر DOS
الجهاز ، وفي هذه العملية تصبح أسماء الملفات مغمورة.

+ tolower-filename
هذا مشابه لل + toupper- اسم الملف الاختراق الموصوف أعلاه.

+ عدد صحيح عرض +قيمنا
يسمح هذا للمبرمج بتحديد عرض المتغيرات الصحيحة في ملف
مصدر فيريلوج. القيمة الافتراضية هي 32 ، يمكن أن تكون القيمة أي قيمة عدد صحيح مرغوب فيه.

المتغيرات IN COMMAND FILES


في بعض الحالات ، يدعم iverilog المتغيرات في ملفات الأوامر. هذه هي سلاسل من
شكل "$ (فارمين) "أو" $ {فارمين}"، أين فارمين هو اسم متغير البيئة
ليقرأ. يتم استبدال السلسلة بأكملها بمحتويات هذا المتغير. المتغيرات
يتم استبدالها فقط في السياقات التي تدعمها بشكل صريح ، بما في ذلك الملف والدليل
سلاسل.

تأتي القيم المتغيرة من بيئة نظام التشغيل ، وليس من المعالج المسبق
يحدد في مكان آخر في الملف أو سطر الأوامر.

محددة مسبقا ماكروس


وحدات الماكرو التالية معرّفة مسبقًا بواسطة المترجم:

__ايكاروس__ = 1
يتم تعريف هذا دائمًا عند الترجمة باستخدام Icarus Verilog.

__VAMS_ENABLE__ = 1
يتم تحديد هذا إذا تم تمكين Verilog-AMS.

أمثلة


تفترض هذه الأمثلة أن لديك ملف مصدر Verilog يسمى hello.v في الوقت الحالي
دليل

لترجمة hello.v إلى ملف قابل للتنفيذ يسمى a.out:

iverilog hello.v

لترجمة hello.v إلى ملف قابل للتنفيذ يسمى hello:

iverilog -o مرحبا hello.v

للترجمة والتشغيل بشكل صريح باستخدام وقت تشغيل vvp:

iverilog -ohello.vvp -TVp hello.v

استخدم iverilog عبر الإنترنت باستخدام خدمات onworks.net


خوادم ومحطات عمل مجانية

قم بتنزيل تطبيقات Windows و Linux

أوامر لينكس

Ad