ইংরেজিফরাসিস্প্যানিশ

Ad


অনওয়ার্কস ফেভিকন

আচ্ছাদিত - ক্লাউডে অনলাইন

উবুন্টু অনলাইন, ফেডোরা অনলাইন, উইন্ডোজ অনলাইন এমুলেটর বা MAC OS অনলাইন এমুলেটরের মাধ্যমে OnWorks ফ্রি হোস্টিং প্রদানকারীতে কভার করুন

এটি কভার করা কমান্ড যা আমাদের একাধিক বিনামূল্যের অনলাইন ওয়ার্কস্টেশন যেমন উবুন্টু অনলাইন, ফেডোরা অনলাইন, উইন্ডোজ অনলাইন এমুলেটর বা MAC OS অনলাইন এমুলেটর ব্যবহার করে OnWorks ফ্রি হোস্টিং প্রদানকারীতে চালানো যেতে পারে।

কার্যক্রম:

NAME এর


আচ্ছাদিত - ভেরিলগ কোড কভারেজ বিশ্লেষক

বাক্য গঠন


আবৃত [গ্লোবাল_অপশন] স্কোর [অপশন]
আবৃত [গ্লোবাল_অপশন] মার্জ [অপশন] বিদ্যমান_ডাটাবেস ডাটাবেস_একত্রীকরণ+
আবৃত [গ্লোবাল_অপশন] রিপোর্ট [অপশন] ডাটাবেস_ফাইল
আবৃত [গ্লোবাল_অপশন] মর্যাদাক্রম [অপশন] ডাটাবেস_থেকে_র্যাঙ্ক ডাটাবেস_থেকে_র্যাঙ্ক+
আবৃত [গ্লোবাল_অপশন] বর্জন করা [অপশন] exclusion_id+ ডাটাবেস_ফাইল

বর্ণনাঃ


আবৃত একটি ভেরিলগ কোড কভারেজ বিশ্লেষণ টুল যা কিভাবে নির্ধারণ করতে উপযোগী হতে পারে
ভাল একটি ডায়াগনস্টিক পরীক্ষা স্যুট পরীক্ষার অধীনে নকশা কভার করা হয়. আচ্ছাদিত মধ্যে পড়া
ভেরিলগ ডিজাইন ফাইল এবং একটি VCD, LXT2 বা FST ফরম্যাট করা ডাম্পফাইল একটি ডায়াগনস্টিক রান থেকে এবং
ব্যবহার করে একটি কভারেজ বর্ণনা ডাটাবেস (CDD) ফাইল নামে একটি ডাটাবেস ফাইল তৈরি করে
স্কোর কমান্ড। Covered এর স্কোর কমান্ড বিকল্পভাবে একটি CDD ফাইল তৈরি করতে ব্যবহার করা যেতে পারে
এবং টেস্টবেঞ্চে একটি ভিপিআই মডিউল হিসাবে আচ্ছাদিত ব্যবহারের জন্য একটি ভেরিলগ মডিউল যা পেতে পারে
সিমুলেশনের সাথে সমান্তরালে কভারেজ তথ্য (দেখুন ব্যবহার কভার AS A ভিপিআই মডিউল)। দ্য
ফলস্বরূপ CDD ফাইল তৈরি করতে একই ডিজাইন থেকে অন্যান্য CDD ফাইলের সাথে একত্রিত করা যেতে পারে
সঞ্চিত কভারেজ, মার্জ কমান্ড ব্যবহার করে। একবার একটি CDD ফাইল তৈরি হয়ে গেলে, ব্যবহারকারী করতে পারেন
একটি ASCII বিন্যাসে বিভিন্ন মানব-পাঠযোগ্য কভারেজ রিপোর্ট তৈরি করতে বা ব্যবহার করতে কভারড ব্যবহার করুন
কভারডের GUI রিপোর্ট কমান্ড ব্যবহার করে ইন্টারেক্টিভভাবে কভারেজ ফলাফল দেখতে। যদি
উন্মোচিত কভারেজ পয়েন্ট পাওয়া যায় যে ব্যবহারকারী কভারেজ থেকে বাদ দিতে চায়, এটি করতে পারে
কমান্ড-লাইন এক্সক্লুড কমান্ড বা GUI-এর মধ্যে পরিচালনা করা হয়। যখন একাধিক
CDD ফাইল একই ডিজাইন থেকে তৈরি করা হয়, ব্যবহারকারী একটি কভারেজ র্যাঙ্কিং পেতে পারে
সেই CDD ফাইলগুলিকে রিগ্রেশন পরীক্ষার জন্য একটি আদর্শ ক্রম নির্ধারণ করার পাশাপাশি বুঝতে হবে
কোন CDD ফাইলগুলি নতুন আঘাত করতে অক্ষমতার কারণে রিগ্রেশন থেকে বাদ দেওয়া যেতে পারে
কভারেজ পয়েন্ট। অতিরিক্তভাবে, কভারডের স্কোর কমান্ডের অংশ হিসাবে, রেসের অবস্থা
সম্ভাবনাগুলি ডিজাইন ফাইলগুলিতে পাওয়া যায় এবং হয় উপেক্ষা করা যেতে পারে, সতর্কতা হিসাবে পতাকাঙ্কিত
বা ত্রুটি হিসাবে পতাকাঙ্কিত। রেসের শর্তগুলিকে ত্রুটি হিসাবে উল্লেখ করে, কভারডও ব্যবহার করা যেতে পারে
রেস কন্ডিশন চেকার হিসাবে।

গ্লোবাল বিকল্প


এই অপশনগুলো কীওয়ার্ডের পরপরই বসানো হয় আবৃত কমান্ড লাইনে। তারা
যেকোনো কমান্ডের জন্য ব্যবহার করা যেতে পারে (এর ব্যতিক্রম ছাড়া -v এবং -h) এবং একই প্রভাব আছে
প্রত্যেক ঘটনা.

-B অস্পষ্ট. আউটপুট করার আগে সমস্ত নকশা-সংবেদনশীল নাম অস্পষ্ট করে
ব্যবহারকারী-পঠনযোগ্য বিন্যাস। এর সাথে আউটপুট ভাগ করার সময় এই বিকল্পটি কার্যকর
ডিবাগিং উদ্দেশ্যে Covered এর বিকাশকারীরা।

-D ডিবাগ ডিবাগিং টুল সমস্যার জন্য সহায়ক তথ্য প্রদর্শন করুন। দ্রষ্টব্য: এই
--enable-debug দিয়ে কভার করা হলেই বিকল্পটি এখন উপলব্ধ
কনফিগারেশন বিকল্প।

-h সাহায্য এই ব্যবহারের তথ্য প্রদর্শন করুন.

-P [ফাইলের নাম]
প্রোফাইলিং মোড। অভ্যন্তরীণ সোর্স কোড প্রোফাইলার চালু করে যা একটি তৈরি করবে
রান কমান্ডের প্রোফাইলিং রিপোর্ট নির্দিষ্ট করা হয় ফাইলের নাম অথবা, যদি না
ফাইলের নাম নামক একটি ফাইলে উপস্থিত রয়েছে covered.prof. এই বিকল্প শুধুমাত্র উপলব্ধ
যদি --সক্ষম-প্রোফাইলিং কনফিগারেশন বিকল্পটি উল্লেখ করা হয়েছিল যখন আচ্ছাদিত ছিল
নির্মিত

-Q শান্ত ভাব. সমস্ত আউটপুট দমন করার কারণ.

-T টার্সে মোড। সতর্কতা ব্যতীত সমস্ত আউটপুটকে দমন করার কারণ
বার্তা এবং আচ্ছাদিত হেডার তথ্য।

-v সংস্করণ। বর্তমান আবৃত সংস্করণ প্রদর্শন করুন.

কম্যান্ডস


স্কোর এর জন্য ব্যবহৃত ডাটাবেস ফাইল তৈরি করতে ভেরিলগ ফাইল এবং VCD/LXT2/FST ডাম্পফাইল পার্স করে
মার্জ এবং রিপোর্টিং

মার্জ দুই বা ততোধিক ডাটাবেস ফাইলকে একত্রিত করে।

রিপোর্ট ডাটাবেস ফাইল থেকে মানব-পাঠযোগ্য কভারেজ রিপোর্ট তৈরি করে বা কভারেজ শুরু করে
GUI রিপোর্ট করুন।

মর্যাদাক্রম একটি প্রতিবেদন তৈরি করে যা রিগ্রেশন চালানোর জন্য একটি আদর্শ আদেশ নির্দিষ্ট করে এবং নির্দিষ্ট করে
CDD ফাইল যেগুলি নতুন কভারেজ তথ্য যোগ করে না (এবং তাই, বাদ দেওয়া যেতে পারে
রিগ্রেশন থেকে, যদি ইচ্ছা হয়)।

বর্জন করা
এক বা একাধিক কভারেজ পয়েন্টের অনুমতি দেয় (একটি প্রতিবেদনে বর্জন আইডি দিয়ে চিহ্নিত করা হয়
তাদের বর্জন সম্পত্তি টগল করার জন্য -x বিকল্পের সাথে তৈরি করা হয়েছে (এর মধ্যে অন্তর্ভুক্ত
অন্তর্ভুক্ত করার জন্য বাদ বা বাদ দিন) এবং, যদি বর্জনের সম্পত্তি বাদ দিতে সেট করা হয়,
ঐচ্ছিকভাবে বাদ দেওয়ার কারণকে এটির সাথে যুক্ত করার এবং সংরক্ষণ করার অনুমতি দিন
নির্দিষ্ট CDD ফাইল।

স্কোর কমান্ড


নিম্নলিখিত বিকল্পগুলি স্কোর কমান্ডের জন্য বৈধ:

-A ঠিক আছে দাবী কভারেজের জন্য OVL দাবী ব্যবহার করার কারণ। এই পতাকা দিতে হবে
যদি রিপোর্টে দাবী কভারেজ মেট্রিক্স প্রয়োজন হয় তাহলে স্কোর কমান্ডে
কমান্ড।

-সিডিডি ডাটাবেজ
-o বিকল্প হিসাবে একই. যখন CDD ফাইল স্কোর করা হয় তখন স্কোরের একটি ইনপুট হয়
কমান্ড।

-cli [ফাইলের নাম]
VCD/LXT2/FST ডাম্পফাইল স্কোরিংয়ের সময় কমান্ড-লাইন ডিবাগার ব্যবহার করা হয়।
If ফাইলের নাম নির্দিষ্ট করা হয়েছে, এই ফাইলটিতে পূর্ববর্তী কলে সংরক্ষিত তথ্য রয়েছে
CLI-তে সংরক্ষন করুন এবং এই ফাইলের মধ্যে থাকা ইতিহাস পুনরায় প্লে করা হবে
CLI কমান্ড প্রম্পটের আগে। যদি ফাইলের নাম নির্দিষ্ট করা নেই, CLI প্রম্পট হবে
সিমুলেশনের শুরুতে অবিলম্বে উপলব্ধ হবে। এই বিকল্প শুধুমাত্র উপলব্ধ
যখন আচ্ছাদিত সঙ্গে কনফিগার করা হয় --সক্ষম-ডিবাগ বিকল্প।

-রক্ষণশীল
যদি এই বিকল্পটি নির্দিষ্ট করা থাকে, যেকোন লজিক ব্লক যে কোড ধারণ করে যা হতে পারে
সম্ভাব্য ভুল কভারেজ ফলাফল নেতৃস্থানীয় কভারেজ অসঙ্গতি হয়
কভারেজ বিবেচনা থেকে সরানো হয়েছে। কি সম্পর্কে আরও তথ্যের জন্য ব্যবহারকারীর নির্দেশিকা দেখুন
কোডের ধরন কভারেজের ভুল হতে পারে।

- ডাম্পভার [ফাইলের নাম]
যদি এই বিকল্পটি -vcd বা -lxt বিকল্পগুলি ছাড়াই নির্দিষ্ট করা হয়, তাহলে নকশাটি পার্স করা হয়,
একটি CDD ফাইল তৈরি করা হয় এবং একটি শীর্ষ-স্তরের ভেরিলগ মডিউল ফাইল নামে ফাইলের নাম (এই যদি
মান নির্দিষ্ট করা হয়েছে) বা "covered_dump.v" (যদি ফাইলের নাম নির্দিষ্ট করা হয় না) তৈরি করা হয়।
এই ফাইলটি একটি ডাম্পফাইল তৈরি করতে সিমুলেটরের সংকলনে ব্যবহৃত হয়
নির্দিষ্ট CDD ফাইলের কভারেজ পাওয়ার জন্য অপ্টিমাইজ করা হয়েছে। যদি হয় -vcd বা
-lxt বিকল্পগুলি নির্দিষ্ট করা হয়েছে, এই বিকল্পের কোন প্রভাব নেই। এর জন্য ব্যবহারকারীর নির্দেশিকা দেখুন
এই বিকল্পটি কীভাবে ব্যবহার করবেন সে সম্পর্কে আরও তথ্য।

-D define_name
নির্দিষ্ট নাম 1-এ সংজ্ঞায়িত করে।

-D define_name=value
নির্দিষ্ট মানের সাথে নির্দিষ্ট নাম সংজ্ঞায়িত করে।

-e ব্লক_নাম
মডিউল, টাস্ক, ফাংশনের নাম বা স্কোর না করার জন্য শুরু/শেষ ব্লকের নাম। সব কারণ
এই ব্লকের নীচে ভেরিলগ গাছের সাবব্লকগুলিও স্কোর করা যাবে না।

-ea কভারেজের জন্য বিবেচনা করা থেকে সব সবসময় ব্লক বাদ দেয়।

-ইসি কভারেজের জন্য বিবেচনা করা থেকে সব ক্রমাগত অ্যাসাইনমেন্ট বাদ দেয়।

-ই আই কভারেজের জন্য বিবেচনা করা থেকে সমস্ত প্রাথমিক ব্লক বাদ দেয়।

-এফ কভারেজের জন্য বিবেচনা করা থেকে সমস্ত চূড়ান্ত ব্লক বাদ দেয়।

-ep [নাম]
'// কভারেজ বন্ধ' এবং '// কভারেজ অন' প্রাগমাসের মধ্যে পাওয়া সমস্ত কোড বাদ দেয়
নকশা মধ্যে এমবেড করা. যদি নাম সুনির্দিষ্ট করা হয়, pragma কিওয়ার্ড হবে
ডিফল্ট "কভারেজ" এর পরিবর্তে সেই নামটি পরিবর্তন করা হবে, যা ব্যবহারকারীকে অনুমতি দেয়
pragma এর চেহারা পরিবর্তন করুন যদি এটি অন্য কোন টুলের সাথে বিরোধিতা করে।

-F module_name=[in_expr,]out_expr
মডিউলে অবস্থিত FSM কোথায় পাওয়া যাবে তা পার্সারকে নির্দেশ করে মডিউল_নাম যে
বলা হয় একটি ইনপুট রাষ্ট্র অভিব্যক্তি আছে in_expr এবং আউটপুট স্টেট এক্সপ্রেশন বলা হয়
out_expr। যদি in_expr নির্দিষ্ট করা নেই, out_expr ইনপুট এবং উভয় হিসাবে ব্যবহৃত হয়
আউটপুট রাষ্ট্র অভিব্যক্তি।

-f ফাইলের নাম
পার্স করার জন্য অতিরিক্ত আর্গুমেন্ট ধারণকারী ফাইলের নাম।

-fst ফাইলের নাম
স্কোর ডিজাইনের জন্য FST ডাম্পফাইলের নাম। যদি -vcd, -lxt বা এই বিকল্পটি না থাকে
ব্যবহৃত, Covered শুধুমাত্র ডিজাইন থেকে একটি প্রাথমিক CDD ফাইল তৈরি করবে এবং করবে না
ডিজাইন স্কোর করার চেষ্টা করুন।

-g [মডিউল_নাম=](1|2|3)
ব্যবহারকারীকে ভেরিলগের একটি নির্দিষ্ট প্রজন্মের মধ্যে পার্সারকে সীমাবদ্ধ করার অনুমতি দেয়৷
একটি নির্দিষ্ট মডিউল বা সম্পূর্ণ ডিজাইনের জন্য স্ট্যান্ডার্ড, যেখানে 1=Verilog-1995,
2=Verilog-2001, 3=SystemVerilog. যদি মডিউল_নাম= নির্দিষ্ট করা হয়, পার্সার ব্যবহার করে
শুধুমাত্র সেই মডিউলের জন্য নির্দিষ্ট ভেরিলগ স্ট্যান্ডার্ড, বাকি ডিজাইনকে অনুমতি দেয়
গ্লোবাল স্ট্যান্ডার্ড ব্যবহার করুন। ডিফল্টরূপে, গ্লোবাল স্ট্যান্ডার্ড সবচেয়ে সাম্প্রতিক সেট করা হয়
সংস্করণ (3)। একটি প্রদত্ত কলের জন্য এই বিকল্পটি একাধিকবার নির্দিষ্ট করা যেতে পারে৷
স্কোর কমান্ড; যাইহোক, যদি -g বিকল্পটি বিশ্বব্যাপী মানের চেয়ে বেশি নির্দিষ্ট করে (যেমন,
ছাড়া মডিউল= উপসর্গ), শুধুমাত্র শেষ বিকল্প মান ব্যবহার করা হবে।

-h এই সাহায্য তথ্য প্রদর্শন করে.

-I ডিরেক্টরি
অন্তর্ভুক্ত ভেরিলগ ফাইলগুলি সন্ধান করার জন্য ডিরেক্টরি৷

-i instance_name
ভেরিলগ শ্রেণীবিন্যাস মডিউলের রেফারেন্স যা গাছের শীর্ষে থাকে
স্কোর করেছে কভারেজ যাচাই করার মডিউলটি শীর্ষ-স্তরের না হলে এই বিকল্পটি প্রয়োজনীয়
ডিজাইনে মডিউল। উল্লেখ না থাকলে, -t মান ব্যবহার করা হয়।

-lxt ফাইলের নাম
ডিজাইন স্কোর করার জন্য LXT2 ডাম্পফাইলের নাম। যদি -vcd, -fst বা এই বিকল্পটি না হয়
ব্যবহৃত, Covered শুধুমাত্র ডিজাইন থেকে একটি প্রাথমিক CDD ফাইল তৈরি করবে এবং করবে না
ডিজাইন স্কোর করার চেষ্টা করুন।

-m বার্তা
ব্যবহারকারীকে এই CDD ফাইল সম্পর্কে তথ্য নির্দিষ্ট করার অনুমতি দেয়৷ এই তথ্য পারেন
কিছু হোক (হোয়াইটস্পেস সহ বার্তাগুলি ডবল-উদ্ধৃতি দ্বারা বেষ্টিত হওয়া উচিত
চিহ্ন), কিন্তু আরো সহজে সিমুলেশন আর্গুমেন্ট সম্পর্কে কিছু অন্তর্ভুক্ত করতে পারে
CDD ফাইলটি পুনরায় তৈরি করার উদ্দেশ্যে CDD ফাইলটিকে এর সিমুলেশনের সাথে লিঙ্ক করুন।

-o ডাটাবেজ
কভারেজ তথ্য লিখতে ডাটাবেসের নাম। নির্দিষ্ট না হলে, আউটপুট
ডাটাবেস ফাইলের নাম হবে "cov.cdd"।

-p ফাইলের নাম
মধ্যবর্তী প্রিপ্রসেসর আউটপুট সঞ্চয় করতে ব্যবহৃত ডিফল্ট ফাইলের নাম ওভাররাইড করে।

-P প্যারামিটার_স্কোপ = মান
মান সহ নির্দিষ্ট প্যারামিটারে একটি defparam সম্পাদন করে।

-আরএস যখন রেস কন্ডিশন চেক লঙ্ঘন করা হয়, আপত্তিকর লজিক ব্লকগুলি সরানো হয়
কভারেজ বিবেচনা থেকে এবং সমস্ত আউটপুট জাতি সংক্রান্ত দমন করা হয়
শর্ত লঙ্ঘন। জাতি সম্পর্কে আরও তথ্যের জন্য ব্যবহারকারীর ডকুমেন্টেশন দেখুন
কন্ডিশন চেকিং ব্যবহার।

-আরডব্লিউ যখন রেস কন্ডিশন চেক লঙ্ঘন করা হয়, আপত্তিকর লজিক ব্লকগুলি সরানো হয়
কভারেজ বিবেচনা থেকে এবং জাতি শর্ত লঙ্ঘন আউটপুট হয়. এই
রেস কন্ডিশন পরিচালনার জন্য ডিফল্ট আচরণ। আরো জন্য ব্যবহারকারী ডকুমেন্টেশন দেখুন
রেস কন্ডিশন চেকিং ব্যবহার সম্পর্কে তথ্য।

-আরই যখন রেস কন্ডিশন চেক লঙ্ঘন করা হয়, কারণ আউটপুট এবং স্কোরিং শেষ হয়
অবিলম্বে রেসের অবস্থা সম্পর্কে আরও তথ্যের জন্য ব্যবহারকারীর ডকুমেন্টেশন দেখুন
ব্যবহার পরীক্ষা করা হচ্ছে।

-আরআই[=মডিউল নাম]
If মডিউল নাম নির্দিষ্ট করা নেই, রেস কন্ডিশন চেকিং সম্পূর্ণভাবে বাদ দেওয়া হয়েছে
সম্পূর্ণ নকশা। যদি মডিউল নাম নির্দিষ্ট করা হয়েছে, রেস কন্ডিশন চেকিং এড়িয়ে গেছে
নির্দিষ্ট মডিউল জন্য. জাতি সম্পর্কে আরও তথ্যের জন্য ব্যবহারকারীর ডকুমেন্টেশন দেখুন
কন্ডিশন চেকিং ব্যবহার।

-rP[=নাম])
রেস কন্ডিশন চেকিং থেকে নির্দিষ্ট কোড উপেক্ষা করার জন্য এমবেডেড প্রাগমা ব্যবহার করে
বিবেচনা (যদি নাম নির্দিষ্ট করা হয়েছে এটি প্রাগমা কীওয়ার্ড হিসাবে ব্যবহৃত হয়)। ব্যবহারকারী দেখুন
রেস কন্ডিশন চেকিং ব্যবহার সম্পর্কে আরও তথ্যের জন্য ডকুমেন্টেশন।

-S সিমুলেশন শেষ হওয়ার পর সিমুলেশন পরিসংখ্যান আউটপুট করে। এই তথ্য
বর্তমানে শুধুমাত্র Covered এর ডেভেলপারদের জন্য উপযোগী।

-t উপরের স্তর মডিউল
পরিমাপ করা হবে এমন শীর্ষ-সর্বাধিক মডিউলটির মডিউলের নাম উল্লেখ করে। মনে রাখবেন যে
এই মডিউলটিকে সিমুলেটরের সর্বোচ্চ মডিউল হতে হবে না। এই মাঠ
স্কোর কমান্ডের সমস্ত কলের জন্য প্রয়োজনীয়।

-টপ_টিএস টাইমস্কেল
এই বিকল্পটি তখনই বৈধ যখন -vpi বা -dumpvars বিকল্পগুলি নির্দিষ্ট করা থাকে।
এই বিকল্পটি ব্যবহারকারীকে তৈরি করা ভেরিলগ মডিউলের জন্য একটি টাইমস্কেল নির্দিষ্ট করতে দেয়
-vpi/-dumpvars বিকল্প দিয়ে তৈরি করা হয়েছে। যদি এই বিকল্পটি নির্দিষ্ট করা না থাকে, না
উৎপন্ন মডিউলের জন্য টাইমস্কেল তৈরি করা হবে। মুল্য টাইমস্কেল is
নিম্নরূপ উল্লেখ করা হয়েছে:

(1|10|100)(s|ms|us|ns|ps|fs)/(1|10|100)(s|ms|us|ns|ps|fs)

যদি বিভিন্ন মানের মধ্যে হোয়াইটস্পেস প্রয়োজন হয়, তাহলে এর সম্পূর্ণ বিষয়বস্তু রাখুন
টাইমস্কেল ডবল উদ্ধৃতি মধ্যে.

-ts সংখ্যা
যখন স্কোরিং ঘটে, এই বিকল্পটি ব্যবহারকারীকে সিমুলেটর কতদূর আছে তা দেখতে দেয়
বর্তমান টাইমস্টেপকে স্ট্যান্ডার্ড আউটপুটে আউটপুট করে অগ্রগতি হয়েছে। মুল্য
সংখ্যা আউটপুট করার আগে কতগুলি টাইমস্টেপ সিমুলেট করার অনুমতি দেওয়া হয় তা নির্দিষ্ট করে
বর্তমান টাইমস্টেপ (ফলে আউটপুট স্ট্রীমে কম কল আসে)।

-T (মিনিট|টাইপ|সর্বোচ্চ)
ফর্মে বিলম্বিত অভিব্যক্তির সম্মুখীন হলে কোন মানটি ব্যবহার করতে হবে তা নির্দিষ্ট করে:
মিন:টাইপ:সর্বোচ্চ এই বিকল্পটি নির্দিষ্ট করা না থাকলে, 'টাইপ' নির্বাচন ডিফল্টরূপে ব্যবহৃত হয়।

-v ফাইলের নাম
স্কোর করার জন্য নির্দিষ্ট ভেরিলগ ফাইলের নাম।

-ভিসিডি ফাইলের নাম
স্কোর ডিজাইনের জন্য ভিসিডি ডাম্পফাইলের নাম। যদি -lxt, -fst বা এই বিকল্পটি না হয়
ব্যবহৃত, Covered শুধুমাত্র ডিজাইন থেকে একটি প্রাথমিক CDD ফাইল তৈরি করবে এবং করবে না
ডিজাইন স্কোর করার চেষ্টা করুন।

-ভিপিআই [ফাইলের নাম]
যদি এই বিকল্পটি -vcd, -lxt বা -fst বিকল্পগুলি ছাড়াই নির্দিষ্ট করা হয়, তাহলে নকশাটি হল
পার্স করা হলে, একটি CDD ফাইল তৈরি করা হয় এবং একটি শীর্ষ-স্তরের ভেরিলগ মডিউল ফাইল নামে ফাইলের নাম
(যদি এই মানটি নির্দিষ্ট করা থাকে) বা "covered_vpi.v" (যদি ফাইলের নাম নির্দিষ্ট করা নেই) হয়
নামে একটি পিএলআই টেবিল ফাইল সহ তৈরি করা হয়েছে ফাইলের নাম.ta b বা "covered_vpi.v.ta b"।
এই দুটি ফাইলই Covered as ব্যবহার করার জন্য সিমুলেটরের সংকলনে ব্যবহৃত হয়
একটি VPI মডিউল। যদি -vcd, -lxt বা -fst বিকল্পগুলি নির্দিষ্ট করা থাকে, এই বিকল্পটি
কোন প্রভাব আছে।

-উইগনোর
কোড পার্সিং এবং সিমুলেশনের সময় সতর্কতার আউটপুট দমন করুন।

-y ডিরেক্টরি
অনির্দিষ্ট ভেরিলগ ফাইল খুঁজতে ডিরেক্টরি।

+libext+এক্সটেনশন[+এক্সটেনশন]*+
স্কোর করার অনুমতি দিতে ভেরিলগ ফাইলের এক্সটেনশন।

মার্জ করুন কমান্ড


নিম্নলিখিত বিকল্পগুলি মার্জ কমান্ডের জন্য বৈধ:

-d ফাইলের নাম
অন্তর্ভুক্ত করার জন্য CDD ফাইল অনুসন্ধান করার জন্য ডিরেক্টরি। এই বিকল্পটি একযোগে ব্যবহৃত হয়
সাথে -এক্সট বিকল্প যা নির্ধারণের জন্য ব্যবহার করার জন্য ফাইল এক্সটেনশন নির্দিষ্ট করে
ডিরেক্টরির কোন ফাইলগুলি সিডিডি ফাইল।

-R (প্রথম|গত|সব|নতুন|পুরাতন)
বর্জন কারণ রেজোলিউশন কিভাবে পরিচালনা করতে হয় তা নির্দিষ্ট করে। দুই বা ততোধিক সিডিডি ফাইল থাকলে
একত্রিত হওয়ার ক্ষেত্রে একই কভারেজ পয়েন্টের জন্য নির্দিষ্ট বর্জনের কারণ রয়েছে,
বর্জন কারণ সমাধান করা প্রয়োজন (যদি না এটি একই স্ট্রিং মান হয়)। যদি
এই বিকল্পটি নির্দিষ্ট করা নেই এবং একটি বিরোধ পাওয়া যায়, কভার করা হবে ইন্টারেক্টিভভাবে
প্রতিটি বর্জনের জন্য ইনপুট অনুরোধ কিভাবে এটি পরিচালনা করতে হবে। যদি এই বিকল্প হয়
নির্দিষ্ট করা হয়েছে, এটি কভারডকে বলে যে কীভাবে সমস্ত বর্জন কারণের দ্বন্দ্বগুলি পরিচালনা করতে হয়। দ্য
মান নিম্নরূপ:.br

প্রথম - CDD ফাইল যা প্রথম বর্জনের কারণ ধারণ করে ব্যবহার করা হয়।

গত - CDD ফাইল যা শেষ বর্জন কারণ ধারণ করে ব্যবহার করা হয়।

সব - সমস্ত বাদ কারণ ব্যবহার করা হয় (সংযুক্ত)।

নতুন - নির্দিষ্ট করা নতুনতম বর্জনের কারণ ব্যবহার করুন।

পুরাতন - উল্লেখিত প্রাচীনতম বর্জন কারণ ব্যবহার করুন।

-এক্সট প্রসার
এর সাথে একযোগে ব্যবহৃত হয় -d বিকল্প যদি না -এক্সট বিকল্পগুলিতে নির্দিষ্ট করা হয়েছে
কমান্ড লাইন, '.cdd' এর ডিফল্ট মান ব্যবহার করা হয়। উল্লেখ্য যে একটি সময়কাল (.) উচিত
নির্দিষ্ট করা।

-f ফাইলের নাম
পার্স করার জন্য অতিরিক্ত আর্গুমেন্ট ধারণকারী ফাইলের নাম।

-h এই সাহায্য তথ্য প্রদর্শন করে.

-m বার্তা
ব্যবহারকারীকে এই CDD ফাইল সম্পর্কে তথ্য নির্দিষ্ট করার অনুমতি দেয়৷ এই তথ্য পারেন
কিছু হোক (হোয়াইটস্পেস সহ বার্তাগুলি ডবল-উদ্ধৃতি দ্বারা বেষ্টিত হওয়া উচিত
চিহ্ন).

-o ফাইলের নাম
নতুন ডাটাবেস আউটপুট করার জন্য ফাইল। এই যুক্তি নির্দিষ্ট না হলে,
বিদ্যমান_ডাটাবেস আউটপুট ডাটাবেসের নাম হিসাবে ব্যবহৃত হয়।

প্রতিবেদন কমান্ড


রিপোর্ট কমান্ডের সাথে নিম্নলিখিত বিকল্পগুলি বৈধ:

-b যদি কম্বিনেশনাল লজিক ভার্বোজ আউটপুট রিপোর্ট করা হয় এবং এক্সপ্রেশনটি একটি ভেক্টর হয়
অপারেশন, এই বিকল্পটি বিটওয়াইজ ভিত্তিতে কভারেজ তথ্য আউটপুট করে।

-c If -v নির্দিষ্ট করা হয়েছে, শুধুমাত্র কভার মেট্রিক্স প্রদর্শন করে। ডিফল্ট অনাবৃত প্রদর্শন করা হয়
তথ্য শুধুমাত্র।

-d (s|d|v)
কভারেজ রিপোর্ট তথ্য প্রদানের জন্য বিশদ স্তর (s = সারাংশ, d =
বিস্তারিত, v = verbose)। ডিফল্ট হল সারাংশ।

-e রিপোর্ট ফাইলের সাথে সমস্ত বাদ দেওয়া কভারেজ পয়েন্টগুলিকে নির্দিষ্ট করে দেয়
বর্জন কারণ যদি -d d or -d v বিকল্প নির্দিষ্ট করা হয়।

-f ফাইলের নাম
পার্স করার জন্য অতিরিক্ত আর্গুমেন্ট ধারণকারী ফাইলের নাম।

-h এই সাহায্য তথ্য প্রদর্শন করে.

-i মডিউলের পরিবর্তে উদাহরণের জন্য কভারেজ তথ্য প্রদান করে।

-m [l][t][c][f][r][a][m]
রিপোর্ট করার জন্য মেট্রিক্সের প্রকার(গুলি)৷ l=লাইন, t=টগল, c=কম্বিনেশনাল লজিক, f=FSM অবস্থা
এবং স্টেট ট্রানজিশন, r=জাতির অবস্থা, a=assertion, m=স্মৃতি। ডিফল্ট হয় ltcf.

-o ফাইলের নাম
আউটপুট রিপোর্ট তথ্য ফাইল. ডিফল্ট হল স্ট্যান্ডার্ড আউটপুট।

-s আউটপুট থেকে কোনো কভারেজ তথ্য নেই এমন মডিউল/দৃষ্টান্ত দমন করে
রিপোর্টে থেকে সম্ভাব্য অর্থহীন তথ্য নির্মূল করতে সাহায্য করতে ব্যবহৃত
রিপোর্ট।

-v অবচয়। '-dd' বা '-d v' দ্বারা প্রতিস্থাপিত।

-ভিউ ইন্টারেক্টিভ কভারেজ রিপোর্টিংয়ের জন্য GUI ইন্টারফেস শুরু করে।

-w (সংখ্যা)
ভেরিলগ আউটপুট করতে ব্যবহার করা যেতে পারে এমন সর্বোচ্চ লাইন প্রস্থ (অক্ষরগুলিতে) নির্দিষ্ট করে
তথ্য যদি এই বিকল্পটি নির্দিষ্ট করা না থাকে, রিপোর্টে সমস্ত ভেরিলগ কোড থাকবে
মূল ভেরিলগ কোডে উল্লেখ করা একই বিন্যাস ধরে রাখুন। এই যদি
বিকল্পটি নির্দিষ্ট করা হয়েছে, ভেরিলগ কোডটি বর্তমানের বেশি ব্যবহার করার জন্য ফর্ম্যাট করা হবে
লাইন যতটা সম্ভব, টেক্সট মোড়ানো যখন লাইন সর্বোচ্চ লাইন প্রস্থে পৌঁছে। দ্য
ডিফল্ট সর্বোচ্চ লাইন প্রস্থ 115 অক্ষর (এই মানটি ব্যবহার করা হয় যদি কোন সংখ্যা না হয়
-w বিকল্পের সাথে নির্দিষ্ট করা হয়েছে)। যদি -w বিকল্পের সাথে একটি সংখ্যা নির্দিষ্ট করা হয়, তাহলে এটি
মান সর্বোচ্চ লাইন প্রস্থের জন্য ব্যবহৃত হয়।

-x সমস্ত উন্মোচিত এবং বাদ দেওয়া কভারেজ পয়েন্টগুলির বর্জন আইডিগুলিকে আউটপুট করে৷
কভারেজ পয়েন্টের যুক্ত ভার্বোজ আউটপুটের আগে বন্ধনী। দ্য
বর্জন আইডিগুলি বর্জনের মাধ্যমে কভারেজ পয়েন্টগুলি বাদ দিতে/অন্তর্ভুক্ত করতে ব্যবহার করা যেতে পারে
কমান্ড।

RANK কমান্ড


নিম্নলিখিত বিকল্পগুলি র্যাঙ্ক কমান্ডের সাথে বৈধ:

-d ফাইলের নাম
অন্তর্ভুক্ত করার জন্য CDD ফাইল অনুসন্ধান করার জন্য ডিরেক্টরি। এই বিকল্পটি একযোগে ব্যবহৃত হয়
সাথে -এক্সট বিকল্প যা নির্ধারণের জন্য ব্যবহার করার জন্য ফাইল এক্সটেনশন নির্দিষ্ট করে
ডিরেক্টরির কোন ফাইলগুলি সিডিডি ফাইল।

-গভীরতা সংখ্যা
প্রতিটি কভারেজ পয়েন্টে আঘাত করার জন্য প্রয়োজনীয় CDD ফাইলের ন্যূনতম সংখ্যা নির্দিষ্ট করে। দ্য
মান সংখ্যা শূন্যের চেয়ে বেশি হওয়া উচিত। ডিফল্ট হল 1।

-এক্সট প্রসার
এর সাথে একযোগে ব্যবহৃত হয় -d বিকল্প যদি না -এক্সট বিকল্পগুলিতে নির্দিষ্ট করা হয়েছে
কমান্ড লাইন, '.cdd' এর ডিফল্ট মান ব্যবহার করা হয়। উল্লেখ্য যে একটি সময়কাল (.) উচিত
নির্দিষ্ট করা।

-f ফাইলের নাম
পার্স করার জন্য অতিরিক্ত আর্গুমেন্ট ধারণকারী ফাইলের নাম।

-h র‌্যাঙ্ক কমান্ডের জন্য সাহায্য তথ্য প্রদর্শন করে।

শুধুমাত্র নাম
যদি নির্দিষ্ট করা থাকে, শুধুমাত্র প্রয়োজনীয় CDD ফাইলের নামগুলি আউটপুট করে যা চালাতে হবে৷
তাদের চালানো প্রয়োজন আদেশ. এই বিকল্পটি সেট করা না থাকলে, একটি রিপোর্ট-স্টাইল আউটপুট
অতিরিক্ত তথ্য প্রদান করা হয়. এই বিকল্পটি স্ক্রিপ্টে উপযোগী হতে বোঝানো হয়েছে
যেটি শুধুমাত্র CDD ফাইলের নাম আউটপুট হিসাবে চালাতে চায়।

-o ফাইলের নাম
আউটপুট র্যাঙ্কিং তথ্য ফাইলের নাম. ডিফল্ট হল স্ট্যান্ডার্ড আউটপুট।

-প্রয়োজনীয়-সিডিডি ফাইলের নাম
CDD-এর নাম যাকে র‌্যাঙ্ক করার জন্য প্রয়োজনীয় CDD হিসাবে বিবেচনা করা উচিত (অর্থাৎ, এটি হতে পারে না
কোনো কারণে বাদ দেওয়া হয়েছে)।

-প্রয়োজনীয়-তালিকা ফাইলের নাম
একটি ফাইলের নাম যেখানে CDD-এর একটি তালিকা রয়েছে যা প্রয়োজনীয় CDD হিসাবে বিবেচনা করা উচিত
পদে. ফাইলের নাম হোয়াইটস্পেস বা নিউলাইন অক্ষর দ্বারা পৃথক করা উচিত
ফাইলের মধ্যে।

-v র‍্যাঙ্ক কমান্ড চালানো হলে ভার্বোজ আউটপুট প্রদর্শিত হয়। এটা আউটপুট
র‌্যাঙ্কিং অ্যালগরিদমের বিভিন্ন ধাপের প্রতিটি সম্পর্কে ডায়াগনস্টিক তথ্য
রান-টাইম সহ, CDD ফাইলের সংখ্যা অন্তর্ভুক্ত/বাদ দেওয়া এবং কভারেজের সংখ্যা
প্রতিটি পর্বে র‌্যাঙ্ক করা CDD-এর দ্বারা আঘাতপ্রাপ্ত পয়েন্ট। এই তথ্য হতে বোঝানো হয়
র‍্যাঙ্কিং অ্যালগরিদম এবং এর কার্যকারিতা সম্পর্কে আগ্রহীদের জন্য দরকারী।

-ওজন-জারা সংখ্যা
অ-অদ্বিতীয় র‌্যাঙ্ক করতে ব্যবহৃত দাবী কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
কভারেজ পয়েন্ট। মুল্য সংখ্যা তে ব্যবহৃত মানগুলির সাথে আপেক্ষিক
-ওজন-টগল, ওজন-স্মৃতি, - ওজন - চিরুনি, -weight-fsm এবং -ওয়েট লাইন মর্যাদাক্রম
কমান্ড অপশন।

- ওজন - চিরুনি সংখ্যা
র‌্যাঙ্ক করার জন্য ব্যবহৃত কম্বিনেশনাল লজিক কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
অ-অনন্য কভারেজ পয়েন্ট। মুল্য সংখ্যা ব্যবহৃত মান আপেক্ষিক
দ্য -ওজন-টগল, ওজন-স্মৃতি, -ওজন-জারা, -weight-fsm এবং -ওয়েট লাইন
র‌্যাঙ্ক কমান্ড অপশন।

-weight-fsm সংখ্যা
এফএসএম স্টেট এবং স্টেট ট্রানজিশন কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
র্যাঙ্ক অ-অনন্য কভারেজ পয়েন্ট. মুল্য সংখ্যা মান আপেক্ষিক
ব্যবহৃত -ওজন-টগল, ওজন-স্মৃতি, - ওজন - চিরুনি, -ওজন-জারা এবং
-ওয়েট লাইন র‌্যাঙ্ক কমান্ড অপশন।

-ওয়েট লাইন সংখ্যা
অ-অনন্য কভারেজ র‌্যাঙ্ক করতে ব্যবহৃত লাইন কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
পয়েন্ট মুল্য সংখ্যা তে ব্যবহৃত মানগুলির সাথে আপেক্ষিক -ওজন-টগল,
ওজন-স্মৃতি, - ওজন - চিরুনি, -weight-fsm এবং -ওজন-জারা র‌্যাঙ্ক কমান্ড অপশন।

ওজন-স্মৃতি সংখ্যা
অ-অনন্য কভারেজ র‍্যাঙ্ক করতে ব্যবহৃত মেমরি কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
পয়েন্ট মুল্য সংখ্যা তে ব্যবহৃত মানগুলির সাথে আপেক্ষিক -ওজন-টগল,
-ওয়েট লাইন, - ওজন - চিরুনি, -weight-fsm এবং -ওজন-জারা র‌্যাঙ্ক কমান্ড অপশন।

-ওজন-টগল সংখ্যা
অ-অনন্য কভারেজ র‌্যাঙ্ক করতে ব্যবহৃত টগল কভারেজের জন্য একটি আপেক্ষিক ওজন নির্দিষ্ট করে
পয়েন্ট মুল্য সংখ্যা তে ব্যবহৃত মানগুলির সাথে আপেক্ষিক ওজন-স্মৃতি,
-ওয়েট লাইন, - ওজন - চিরুনি, -weight-fsm এবং -ওজন-জারা র‌্যাঙ্ক কমান্ড অপশন।

বাদ দিন কমান্ড


নিম্নলিখিত অপশনগুলি exclude কমান্ডের সাথে বৈধ:

-f ফাইলের নাম
এক্সক্লুড কমান্ডের আরও অপশন রয়েছে এমন একটি ফাইলের নাম উল্লেখ করে।
এই বিকল্পটি একটি একক কলের জন্য যতবার প্রয়োজন ততবার নির্দিষ্ট করা যেতে পারে৷
আদেশ বাদ দিন।

-h এক্সক্লুড কমান্ডের জন্য ব্যবহারের তথ্য তৈরি করে।

-m যে কোনো কভারেজ পয়েন্টের সাথে যুক্ত হতে একটি বর্জন বার্তার অনুমতি দেয়
অন্তর্ভুক্ত রাজ্য থেকে বহিষ্কৃত রাজ্যে। প্রতিটি কভারেজ পয়েন্ট যে পূরণের জন্য
এই প্রয়োজনীয়তা, ব্যবহারকারীকে একটি কারণ ইনপুট করতে অনুরোধ করা হবে। কারণ হতে পারে
যেকোনো দৈর্ঘ্য এবং যেকোনো সংখ্যক লাইন; যাইহোক, সমস্ত ফরম্যাটিং অক্ষর (যেমন,
নতুন লাইন, ট্যাব, অতিরিক্ত স্পেস, ইত্যাদি) সরানো হবে এবং একটি একক দিয়ে প্রতিস্থাপিত হবে
স্থান যখন এটি পরে প্রদর্শিত হয়। একটি বার্তার ইনপুট শেষ করতে, একটি রিটার্ন আঘাত করুন,
একটি একক পিরিয়ড (.) অক্ষর লিখুন এবং আবার রিটার্ন টিপুন। চূড়ান্ত সময়কাল
চরিত্রটি বর্জন বার্তার অংশ হবে না।

-p সমস্ত নির্দিষ্ট কভারেজ পয়েন্টগুলিকে তাদের বর্তমান বর্জন স্থিতি মুদ্রণ করে এবং
বর্জন কারণ (যদি বাদ দেওয়া কভারেজ পয়েন্টের জন্য একটি বিদ্যমান থাকে) মান থেকে
আউটপুট যদি এই বিকল্পটি নির্দিষ্ট করা হয়, তাহলে -m বিকল্প উপেক্ষা করা হবে।

ব্যবহার কভার AS A ভিপিআই মডিউল


একটি VCD, LXT2 বা FST ফাইলকে বিমূর্ত করতে পার্স করার জন্য Covered এর স্কোর কমান্ড ব্যবহার করার পাশাপাশি
কভারেজ তথ্য, আচ্ছাদিত একটি সিমুলেটরের মধ্যে একটি VPI মডিউল হিসাবেও ব্যবহার করা যেতে পারে
এই তথ্য বের করুন। ডাম্পফাইল রিডারের উপর ভিপিআই হিসাবে কভারড ব্যবহার করার সুবিধা
নিম্নলিখিত অন্তর্ভুক্ত. প্রথমত, ভিসিডি ফাইলগুলি অত্যন্ত বড় হতে পারে, বিশেষ করে দীর্ঘ সময়ের জন্য
সিমুলেশন, মূল্যবান ডিস্ক স্পেস ব্যবহার করে। দ্বিতীয়ত, যদি আপনি একটি সিমুলেটর ব্যবহার করেন যে
VCD, LXT2 বা FST এর চেয়ে আলাদা বিন্যাসে ফাইলগুলি ডাম্প করে এবং আপনি এই ডাম্পগুলিকে রূপান্তর করতে চান
এই সংস্করণগুলির একটিতে ফাইলের ধরন, ডিস্কের স্থান এবং সময় ব্যয় তৈরি করতে পারে
আবৃত ডাম্পফাইল অবাঞ্ছিত প্রয়োজন. উপরন্তু, যদিও একটি VPI হিসাবে আচ্ছাদিত ব্যবহার করে
মডিউল আপনার সিমুলেশন গতি কমিয়ে দেবে, সম্ভবত মোট সময় ব্যয় করা হয়
আপনার নকশা অনুকরণ করা এবং এক ধাপে নকশা স্কোর করা তা করার চেয়ে ছোট হবে
দুই ধাপ ফলস্বরূপ, কভারডের কনফিগার ইউটিলিটি ভিপিআই-প্রস্তুত লাইব্রেরি তৈরি করতে পারে
নিম্নলিখিত বিনামূল্যে এবং বাণিজ্যিক সিমুলেটর (Icarus Verilog, CVER এবং VCS)।

উৎস থেকে কভারড তৈরি করার সময় স্বয়ংক্রিয়ভাবে ভিপিআই-রেডি লাইব্রেরি ফাইল তৈরি করতে,
তে "কনফিগার" ইউটিলিটি চালানোর সময় কেবল নিম্নলিখিতগুলির মধ্যে এক বা একাধিক নির্দিষ্ট করুন৷
বেস কভারড ডিরেক্টরি: --with-iv= , --with-vcs=
path>, --with-cver= . Covered কনফিগার করার পর, সহজভাবে টাইপ করুন
'বানান' এবং 'ইনস্টল করুন'। এটি ভিপিআই-প্রস্তুত লাইব্রেরি ফাইলগুলিকে ইনস্টল করবে৷
ইনস্টলেশন libexec ডিরেক্টরি (ডিফল্টরূপে এই পথটি হবে /usr/local/libexec)।

আপনি ডিজাইন কম্পাইল করার জন্য প্রস্তুত হওয়ার আগে, আপনাকে প্রথমে একটি CDD ফাইল তৈরি করতে হবে, একটি শীর্ষ-স্তরের
ভেরিলগ ফাইল, এবং একটি PLI টেবিল ফাইল (শেষ ফাইলটি শুধুমাত্র VCS কম্পাইলারের জন্য প্রয়োজন)।
এই নির্দিষ্ট করে করা হয় -ভিপিআই (ফাইলের নাম) কভারড এর স্কোর কমান্ডের বিকল্প। যদি না
ফাইলের নাম -vpi এর পরে নির্দিষ্ট করা হয়েছে, ফাইলগুলি covered_vpi.v এবং covered_vpi.ta b হবে
উত্পন্ন কভারেজ ফাইলের সাথে তৈরি করা হয়েছে। মনে রাখবেন যে এই ধাপটি শুধুমাত্র হতে হবে
ডিজাইন ফাইল পরিবর্তন না হলে একবার সঞ্চালিত হয়। আপনি এখন কম্পাইল করতে প্রস্তুত
সিমুলেটর

আপনি যদি একটি Icarus ভেরিলগ সিমুলেশন কম্পাইল করছেন, তাহলে শুধু '-m যোগ করুন
'iverilog' কমান্ড-লাইনে /usr/local/libexec/covered.vpi covered_vpi.v'। একদা
কম্পাইলেশন সম্পূর্ণ হয়েছে, জেনারেট করা এক্সিকিউটেবল ফাইলটি চালান যেমন আপনি সাধারণত করবেন।

আপনি যদি একটি CVER সিমুলেশন কম্পাইল করছেন, কেবল যোগ করুন
'+loadvpi=/usr/local/libexec/covered.cver.so:vpi_compat_bootstrap covered_vpi.v'
'cver' কমান্ড লাইন।

আপনি যদি একটি VCS সিমুলেশন কম্পাইল করছেন, তাহলে শুধু '+vpi -load যোগ করুন
/usr/local/libexec/covered.vcs.so:covered_register covered_vpi.v' থেকে 'vcs'
কমান্ড লাইন একবার কম্পাইলেশন সম্পন্ন হলে, জেনারেট করা এক্সিকিউটেবল ফাইলটি আপনার মতো চালান
সাধারণত হবে।

আপনি যদি একটি NC-Verilog সিমুলেশন কম্পাইল করছেন, তাহলে লোড করার জন্য NC-Verilog এর irun কমান্ডে স্যুইচ করুন
আচ্ছাদিত ভাগ করা বস্তু: '-loadvpi /usr/local/libexec/covered.ncv.so:covered_register'
এবং '-access +rwc' দিয়ে সমস্ত অ্যাক্সেস সক্ষম করুন। আপনি $covered_sim কলটি হার্ডকোড করতে পারেন৷
আপনার RTL অথবা আপনি -input input.tcl যোগ করে CLI ব্যবহার করে গতিশীলভাবে এটি চালাতে পারেন
irun এ সুইচ করুন। যেখানে input.tcl ফাইলটি দেখতে নিচের মত এবং tb.dut হল
কভারেজ উদাহরণ:
কল -systf {$covered_sim} {"scored.cdd"} tb.dut
চালান

দুটি প্লাসর্গ আছে যেটি যখন চালানো হয় তখন জেনারেট করা এক্সিকিউটেবলে পাস করা যায়
আচ্ছাদিত পার্স হবে. '+ covered_cdd= ' বিকল্পটি আউটপুট করতে আচ্ছাদিত হবে
দ্বারা নির্দিষ্ট CDD ফাইলে নকশা বিষয়বস্তু স্কোর . এটি একাধিক রানের অনুমতি দেয়
সিমুলেটর থেকে বিভিন্ন সিডিডি ফাইল তৈরি করার জন্য রিকম্পাইলের প্রয়োজন ছাড়াই
ঘটবে '+covered_debug' বিকল্পটি Covered-কে প্রচুর পরিমাণে আউটপুট ডাম্প করবে
সিমুলেশনের সময় এর অভ্যন্তরীণ রান-টাইম অবস্থা সম্পর্কে। এই আউটপুট শুধুমাত্র তৈরি করা হবে
যদি কভার-কে --enable-debug বিকল্পের সাথে কনফিগার করা হয়। এই plusarg বিকল্পটি উচিত নয়
নিয়মিত ব্যবহারকারীদের দ্বারা ব্যবহার করা হবে কারণ এটি মূলত Covered in এর বিকাশকারীদের সাহায্য করার উদ্দেশ্যে
ডিবাগিং

লেখক


ট্রেভর উইলিয়ামস[ইমেল সুরক্ষিত]>

onworks.net পরিষেবা ব্যবহার করে কভার করা অনলাইন ব্যবহার করুন


বিনামূল্যে সার্ভার এবং ওয়ার্কস্টেশন

উইন্ডোজ এবং লিনাক্স অ্যাপ ডাউনলোড করুন

লিনাক্স কমান্ডগুলি

  • 1
    aarch64-linux-gnu-gnatlink
    aarch64-linux-gnu-gnatlink
    গ্নাট, গ্নাটবিন্ড, গ্নাটবিল, গ্ন্যাচপ,
    gnatfind, gnathtml, gnatkr, gnatlink,
    gnatls, gnatmake, gnatprep, gnatpsta,
    gnatpsys, gnatxref - GNAT টুলবক্স
    বর্ণনা: ম...
    aarch64-linux-gnu-gnatlink চালান
  • 2
    aarch64-linux-gnu-gnatls-5
    aarch64-linux-gnu-gnatls-5
    গ্নাট, গ্নাটবিন্ড, গ্নাটবিল, গ্ন্যাচপ,
    gnatfind, gnathtml, gnatkr, gnatlink,
    gnatls, gnatmake, gnatprep, gnatpsta,
    gnatpsys, gnatxref - GNAT টুলবক্স
    বর্ণনা: ম...
    aarch64-linux-gnu-gnatls-5 চালান
  • 3
    cpan- পুরাতন
    cpan- পুরাতন
    cpan-সেকেলে - পুরানো CPAN সনাক্ত করুন
    আপনার পরিবেশে মডিউল...
    cpan-outdatedp চালান
  • 4
    cpan-uploadp
    cpan-uploadp
    cpan-আপলোড - একটি বিতরণ আপলোড করুন
    CPAN সংস্করণ: সংস্করণ 0.103012
    ব্যবহার: ব্যবহার: cpan-আপলোড [বিকল্প]
    ফাইল-টু-আপলোড-1 [ফাইল-টু-আপলোড-2..]
    -v --ক্রিয়া...
    cpan-uploadp চালান
  • 5
    fzsftp
    fzsftp
    fzsftp - এর SFTP সংযোগ হ্যান্ডলার
    ফাইলজিলা বর্ণনা: fzsftp এর অংশ
    ফাইলজিলা। এটি SFTP পরিচালনা করতে ব্যবহৃত হয়
    (SSH ফাইল স্থানান্তর প্রোটোকল)
    সংযোগ এটা...
    fzsftp চালান
  • 6
    g++-4.7
    g++-4.7
    gcc - GNU প্রকল্প C এবং C++ কম্পাইলার ...
    g++-4.7 চালান
  • আরও »

Ad