InglésFrancésEspañol

Ad


icono de página de OnWorks

gvhdl - Online en la nube

Ejecute gvhdl en el proveedor de alojamiento gratuito de OnWorks sobre Ubuntu Online, Fedora Online, emulador en línea de Windows o emulador en línea de MAC OS

Este es el comando gvhdl que se puede ejecutar en el proveedor de alojamiento gratuito de OnWorks utilizando una de nuestras múltiples estaciones de trabajo en línea gratuitas, como Ubuntu Online, Fedora Online, emulador en línea de Windows o emulador en línea de MAC OS.

PROGRAMA:

NOMBRE


gvhdl - Frontend para el compilador / simulador VHDL FreeHDL.

SINOPSIS


gvhdl [OPCIÓN] ... [ARCHIVOS_VHDL] ... [OBJETO_ARCHIVOS]

DESCRIPCIÓN


HDL libre es un conjunto de compiladores / simuladores para el lenguaje de descripción de hardware VHDL. VHDL'93
así como los estándares VHDL'87 son compatibles.

HDL libre traduce los archivos fuente VHDL originales a C ++. Entonces, la fuente C ++ puede ser
compilado y vinculado al kernel para construir el programa de simulación. Iniciando el generado
ejecutable simulará el modelo VHDL correspondiente. El proceso de construcción real para
Generar el simulador a partir de la fuente VHDL es un proceso complejo que es manejado por el
gvhdl guión.

ARCHIVOS_VHDL es una lista de nombres de archivos de origen VHDL que deben terminar con .vhdl o .vhd. El primero
El nombre del archivo VHDL también determina el nombre del ejecutable del simulador. Esta es la final
El ejecutable llevará el nombre del primer archivo VHDL sin la extensión .vhdl o .vhd.
Tenga en cuenta que los archivos de objeto, así como el simulador, se crearán en la versión actual.
directorio.

OBJETO_ARCHIVOS especifica una lista de archivos de objeto que están vinculados al ejecutable del simulador.
gvhdl considera que todos los archivos que terminan en .o son archivos objeto. Normalmente, estos objetos
Los archivos se generan previamente (usando la opción -c) a partir del código fuente de VHDL durante una
paso de compilación.

CAMPUS


-L VHDLLIB
Ruta al directorio raíz de la biblioteca VHDL. Dentro de este directorio, el compilador busca
un archivo llamado v2cc.libs. El archivo de mapeo v2cc.libs traduce los nombres de las unidades de la biblioteca
a directorios. Tenga en cuenta que se puede proporcionar más de una VHDLLIB.

-g Agrega información de depuración al ejecutable. En detalle, esta opción asocia la
código de máquina generado a las líneas correspondientes en los archivos fuente de VHDL.

-G Agrega información de depuración al ejecutable pero no asocia código de máquina a
Líneas de fuente VHDL. Esta opción se usa realmente para depurar el código C ++ generado.

-c No genere ejecutables de simulador. Usando esta opción, el compilador tradujo
Fuente VHDL en ejecutables y los compila en código objeto, pero no
generar un ejecutable final del simulador. Esta opción es especialmente útil para compilar
Paquetes VHDL.

-l NOMBRELIB
Asocie el código fuente de VHDL a la biblioteca LIBNAME de VHDL. Por defecto el nombre de la biblioteca
Se utiliza TRABAJO. Esta opción es especialmente importante si los componentes VHDL de varios
Las bibliotecas VHDL se integrarán en el simulador. Tenga en cuenta que para
utilizar con éxito un componente VHDL de otro que no sea la biblioteca de trabajo actual,
el compilador debe encontrar los archivos VHDL correspondientes utilizando los mecanismos
descrito en v2cc.libs. Además, los componentes deben compilarse con los
-l Opción LIBNAME.

--visibilidad-componente-relajada
Permite enlaces predeterminados invisibles de TRABAJAR.

--libieee
Agregue los archivos de la biblioteca estándar IEEE al ejecutable de simulación.

MEDIO AMBIENTE VARIABLES

V2CC_LIBRARY_PATH
La variable V2CC_LIBRARY_PATH consta de ":" nombres de archivo separados. Además de
la variable de entorno, puede utilizar la opción de línea de comando "-L libdir" con
v2cc. Los directorios especificados con "-L" se agregan delante de los especificados
por V2CC_LIBRARY_PATH. En la ruta final de la biblioteca, aparecen en el mismo orden que
en la línea de comando.

SOPORTADO VHDL SUBCONJUNTO


Actualmente, FreeHDL no es compatible con todo el estándar VHDL'93. Lo siguiente incompleto
La lista ofrece una descripción general de lo que actualmente no se admite:

- No se admite la asociación individual de formales de tipo compuesto.

- Las variables compartidas no son compatibles.

- No se admiten transacciones de atributos, silenciosas, estables y retrasadas.

- Los atributos definidos por el usuario no son compatibles.

- Los grupos no son compatibles.

- No se admiten asignaciones de señales protegidas.

- No se admiten configuraciones.

- Actualmente, los controladores no se pueden apagar.

EJEMPLOS


Uso

gvhdl -c sumador.vhdl

para crear un archivo de objeto para adder.vhdl. Tenga en cuenta que adder.vhdl puede contener varios VHDL
.

gvhdl sumador.vhdl

generará un simulador para el pasado Modelo VHDL que se encuentra en adder.vhdl. Sin embargo, en este
caso, todos los componentes VHDL que se requieren para construir el simulador deben incluirse en
el archivo fuente VHDL.

gvhdl top.vhdl sumador.o --libieee

genera un simulador para el último modelo VHDL encontrado en top.vhdl compilando todos los modelos en
top.vhdl y vinculando el archivo de objeto adder.o (generado previamente) y el estándar IEEE
bibliotecas al ejecutable.

gvhdl -c -l mylib sumador.vhdl

construirá un archivo de objeto que incluye todos los componentes proporcionados en adder.vhdl. Sin embargo, en
En este caso, los componentes se asociarán con la biblioteca mylib en lugar del predeterminado.
trabajo del nombre de la biblioteca. Tenga en cuenta que la opción -l solo afecta al código fuente de C ++ generado, pero
no altera el lugar donde se almacenan los archivos objeto o ejecutables.

SIMULACIÓN COMANDOS


Una vez iniciado el simulador, se imprime un breve resumen de los comandos disponibles.
a la pantalla:

C : ejecutar ciclos = ejecutar ciclos de simulación
n: siguiente = ejecutar el siguiente ciclo de simulación
q: salir = salir de la simulación
r : ejecutar = ejecutar la simulación para
d: dump = dump señales
doff: dump off = dejar de descargar señales
don: dump on = continuar descargando señales
s: mostrar = mostrar valores de señal
dv: dump var = volcar una señal de las listas de señales
ds: dump show = muestra la lista de señales volcadas
nds: number show = muestra el número de señales volcadas
dc [-f ] [-t ] [-cfg ] [-q]
: configura el proceso de volcado

Tenga en cuenta que las señales se vuelcan en un archivo (el nombre de archivo predeterminado es "wave.dmp") en formato VCD.
Este formato de archivo debe ser aceptado por cada visor de formas de onda VCD. El nombre del archivo se establece en
"wave.dmp" pero se puede cambiar usando "dc -f ". Sin embargo, asegúrese de ejecutar
"dc -f ..." antes de ejecutar "d".

SIMULADOR COMANDO LÍNEA CAMPUS


La simulación se puede controlar mediante el parámetro de línea de comando '-cmd "cmd1; cmd2; ..."' donde
'cmd1', 'cmd2', ... son comandos de simulación como se describe en la sección anterior. Nota
que cada comando debe estar separado por ';'. Por ejemplo, ejecutando

./top -cmd "d; ejecutar 1000 ns; q;"

iniciará el programa de simulación 'top', descargará todas las señales y ejecutará la simulación durante 1000 ns.
Finalmente, se termina la simulación. En realidad, el último comando 'q;' es opcional ya que
El simulador termina automáticamente en cuanto se ejecuta el último comando.

Utilice gvhdl en línea utilizando los servicios de onworks.net


Servidores y estaciones de trabajo gratuitos

Descargar aplicaciones de Windows y Linux

Comandos de Linux

Ad