انگلیسیفرانسویاسپانیایی

Ad


فاویکون OnWorks

iverilog - آنلاین در ابر

iverilog را در ارائه دهنده هاست رایگان OnWorks از طریق Ubuntu Online، Fedora Online، شبیه ساز آنلاین ویندوز یا شبیه ساز آنلاین MAC OS اجرا کنید.

این دستور irilog است که می تواند در ارائه دهنده هاست رایگان OnWorks با استفاده از یکی از چندین ایستگاه کاری آنلاین رایگان ما مانند Ubuntu Online، Fedora Online، شبیه ساز آنلاین ویندوز یا شبیه ساز آنلاین MAC OS اجرا شود.

برنامه:

نام


iverilog - کامپایلر Icarus Verilog

خلاصه


irilog [-ESVv] [-Bpath] [-ccmdfile|-fcmdfile] [-Dmacro[=defn]] [-pflag=value] [-dname]
[-g1995|-g2001|-g2005|-g ] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] فایل منبع

شرح


irilog کامپایلری است که کد منبع Verilog را به برنامه های اجرایی برای آن ترجمه می کند
شبیه سازی یا سایر قالب های فهرست شبکه برای پردازش بیشتر. در حال حاضر پشتیبانی می شود
اهداف هستند vp برای شبیه سازی و fpga برای سنتز انواع دیگر هدف به عنوان اضافه شده است
مولدهای کد پیاده سازی شده اند.

OPTIONS


irilog گزینه های زیر را می پذیرد:

-Bپایه La irilog برنامه از برنامه های خارجی و فایل های پیکربندی برای پیش پردازش استفاده می کند
و منبع Verilog را کامپایل کنید. به طور معمول، مسیر مورد استفاده برای مکان یابی این ابزارها است
ساخته شده در irilog برنامه با این حال -B سوئیچ به کاربر امکان انتخاب می دهد
مجموعه ای متفاوت از برنامه ها مسیر داده شده برای مکان یابی استفاده می شود ivlpp, ivl، کد
ژنراتورها و ماژول های VPI

-cپرونده -fپرونده
این پرچم ها یک فایل ورودی را مشخص می کند که حاوی لیستی از فایل های منبع Verilog است.
این شبیه به فرمان پرونده از دیگر شبیه سازهای Verilog، به این صورت که a
فایلی که شامل نام فایل ها به جای قرار دادن آنها در خط فرمان است. دیدن
فرمان فایل ها زیر کلیک کنید.

-Dدرشت دستور ماکرو را تعریف می کند درشت دستور با رشته '1' به عنوان تعریف آن. این فرم به طور معمول است
فقط برای راه اندازی شرط های ifdef در منبع Verilog استفاده می شود.

-Dmacro=defn
ماکرو را تعریف می کند درشت دستور as تعریف کنید.

-dنام یک کلاس از پیام های اشکال زدایی کامپایلر را فعال کنید. را -d سوئیچ ممکن است به عنوان استفاده شود
اغلب در صورت لزوم برای فعال کردن تمام پیام های مورد نظر. نام های پشتیبانی شده هستند
scopes، eval_tree، elaborate و synth2. هر نام دیگری نادیده گرفته می شود.

-E منبع Verilog را از قبل پردازش کنید، اما آن را کامپایل نکنید. فایل خروجی این است
ورودی Verilog، اما با گنجاندن فایل و ارجاعات کلان گسترش یافته و حذف شده است.
این برای مثال برای پیش پردازش منبع Verilog برای استفاده توسط دیگران مفید است
کامپایلرها

-g1995|-g2001|-g2001-noconfig|-g2005
زبان Verilog را انتخاب کنید نسل برای پشتیبانی در کامپایلر این انتخاب می کند
میان IEEE1364-1995, IEEE1364-2001، یا IEEE1364-2005. به طور معمول، Icarus Verilog
پیش فرض آخرین نسل شناخته شده زبان است. این پرچم بیشترین کاربرد را دارد
برای محدود کردن زبان به مجموعه ای که توسط ابزارهای نسل های خاص پشتیبانی می شود
سازگاری با سایر ابزارها

-gverilog-ams|-gno-verilog-ams
پشتیبانی (پیش‌فرض) Verilog-AMS را فعال یا غیرفعال کنید. Verilog-AMS بسیار کم
عملکرد خاصی در حال حاضر پشتیبانی می شود.

-gspecify|-gno-specify
فعال یا غیرفعال (پیش‌فرض) پشتیبانی بلوک را مشخص کنید. وقتی فعال است، بلوک را مشخص کنید
کد شرح داده شده است. وقتی غیرفعال است، مشخص کنید بلوک‌ها تجزیه می‌شوند اما نادیده گرفته می‌شوند. مشخص كردن
بلوک‌ها معمولاً برای شبیه‌سازی RTL مورد نیاز نیستند و در واقع می‌توانند آسیب ببینند
عملکرد شبیه سازی با این حال، غیرفعال کردن بلوک‌های مشخص، دقت را کاهش می‌دهد
شبیه سازی تمام وقت

-gstd-include|-gno-std-include
فعال کردن (پیش‌فرض) یا غیرفعال کردن جستجوی نصب استاندارد شامل
دایرکتوری بعد از همه دایرکتوری های صریح دیگر شامل. این استاندارد شامل
دایرکتوری مکانی مناسب برای نصب فایل های هدر استاندارد است که Verilog است
برنامه ممکن است شامل شود.

-بزرگ-شامل|-gno-relative-شامل
فعال یا غیرفعال (پیش‌فرض) افزودن فهرست فایل‌های محلی به ابتدای
مسیر جستجوی فایل شامل این اجازه می دهد تا فایل ها نسبت به
فایل فعلی و نه فایل های رایج تر فقط در دایرکتوری کار یا
در مسیر مشخص شده شامل جستجوی فایل.

-gxtypes|-gno-xtypes
فعال کردن (پیش‌فرض) یا غیرفعال کردن پشتیبانی برای انواع توسعه‌یافته. فعال کردن انواع توسعه یافته
اجازه می دهد تا برای انواع جدیدی که توسط Icarus Verilog به عنوان پسوندهای فراتر از آن پشتیبانی می شوند
پایه Verilog. در صورت کامپایل کردن کد، ممکن است لازم باشد انواع توسعه یافته را غیرفعال کنید
که با چند کلمه کلیدی جدید که برای پیاده سازی سیستم نوع استفاده می شود در تضاد است.

-gio-range-error|-gno-io-range-error
استانداردها ایجاب می کنند که یک پورت برداری دارای محدوده های تطبیقی ​​برای پورت خود باشد
اظهارنامه و همچنین هرگونه اظهارنامه خالص/ثبتی. این عمل رایج در
گذشته فقط محدوده را برای اعلان شبکه/رجیستر و برخی ابزارها مشخص کنید
هنوز هم این اجازه را بدهید به طور پیش فرض هرگونه عدم تطابق به عنوان یک خطا گزارش می شود. استفاده كردن
-gno-io-range-error یک اخطار به جای یک خطای مرگبار برای پرونده ایجاد می کند
یک شبکه/رجیستر بردار و یک اعلان پورت اسکالر.

-gstrict-ca-eval|-gno-strict-ca-eval
استاندارد مستلزم آن است که اگر هر ورودی به عبارت تخصیص پیوسته باشد
مقدار تغییر می کند، کل عبارت دوباره ارزیابی می شود. به طور پیش فرض، بخش هایی از
عبارتی که به مقدار(های) ورودی تغییریافته بستگی ندارد، مجددا ارزیابی نمی شود.
اگر عبارتی حاوی فراخوانی به تابعی باشد که صرفاً به آن وابسته نیست
مقادیر ورودی یا دارای عوارض جانبی است، رفتار حاصل با آن متفاوت خواهد بود
که توسط استاندارد مورد نیاز است. استفاده كردن -gstrict-ca-eval استاندارد را مجبور خواهد کرد
رفتار سازگار (با مقداری کاهش عملکرد).

-Iincludeir
دایرکتوری را اضافه کنید includeir به فهرست دایرکتوری های جستجو شده برای Verilog شامل می شود
فایل ها. -I سوئیچ ممکن است بارها برای تعیین چندین دایرکتوری استفاده شود
جستجو، دایرکتوری ها به ترتیبی که در خط فرمان ظاهر می شوند جستجو می شوند.

-Mمسیر فهرستی از فایل‌هایی را که به آن کمک می‌کنند، در فایل مشخص‌شده توسط مسیر بنویسید
تلفیقی از طرح این شامل فایل‌هایی می‌شود که توسط شامل گنجانده شده‌اند
دستورالعمل ها و فایل هایی که به طور خودکار توسط پشتیبانی کتابخانه بارگذاری می شوند. خروجی
یک نام فایل در هر خط، بدون فضای اصلی یا انتهایی است.

-mواحد
این ماژول را به لیست ماژول های VPI اضافه کنید تا توسط شبیه سازی بارگذاری شوند. زیاد
ماژول ها را می توان مشخص کرد و همه به ترتیب مشخص شده بارگذاری می شوند. را
ماژول سیستم ضمنی است و همیشه گنجانده شده است. اگر فایل جدول عملکرد سیستم
( .sft) برای ماژول وجود دارد که به طور خودکار بارگیری می شود.

-Nمسیر این برای اشکال زدایی مناسب کامپایلر استفاده می شود. فرم نهایی netlist را تخلیه کنید
طراحی به فایل مشخص شده در غیر این صورت بر عملکرد دستگاه تأثیر نمی گذارد
کامپایلر تخلیه پس از تشریح و بهینه سازی طراحی اتفاق می افتد.

-o نام فایل
خروجی را در فایل قرار دهید نام فایل. اگر نام فایل خروجی مشخص نشده باشد، irilog
از نام پیش فرض استفاده می کند a.out.

-pپرچم = ارزش
یک مقدار را به یک پرچم خاص هدف اختصاص دهید. در -p سوئیچ ممکن است هر چند وقت یکبار استفاده شود
لازم است تمام پرچم های مورد نظر را مشخص کنید. پرچم هایی که استفاده می شوند به این بستگی دارد
هدفی که انتخاب شده و در مستندات خاص هدف توضیح داده شده است. پرچم ها
که استفاده نمی شوند نادیده گرفته می شوند.

-S ترکیب کردن. به طور معمول، اگر هدف بتواند توصیفات رفتاری را بپذیرد
کامپایلر فرآیندها را به شکل رفتاری رها می کند. در -S سوئیچ باعث می شود
کامپایلر برای انجام سنتز حتی اگر برای هدف ضروری نباشد. اگر
نوع هدف یک قالب فهرست شبکه است -S سوئیچ غیر ضروری است و تاثیری ندارد.

-s ماژول بالا
ماژول سطح بالا را برای توضیح بیشتر مشخص کنید. Icarus Verilog به طور پیش فرض انتخاب می کند
ماژول هایی که در هیچ ماژول دیگری نمونه سازی نشده اند، اما گاهی اوقات اینطور نیست
کافی است یا ماژول های زیادی را نمونه برداری می کند. اگر کاربر یک یا چند مورد را مشخص کند
ماژول های ریشه با -s پرچم‌ها، سپس به‌عنوان ماژول‌های ریشه استفاده می‌شوند.

-Tحداقل|نوع|حداکثر
از این سوئیچ برای انتخاب حداقل، تایپ یا حداکثر زمان از عبارت min:typ:max استفاده کنید.
به طور معمول، کامپایلر به سادگی از مقدار typ از این عبارات استفاده می کند
(چاپ اخطار برای ده اولی که پیدا می کند) اما این سوئیچ به آن می گوید
کامپایلر به صراحت از کدام مقدار استفاده کند. این اخطار را سرکوب می کند
کامپایلر در حال انتخاب است.

-tهدف
از این سوئیچ برای تعیین فرمت خروجی هدف استفاده کنید. را ببینید اهداف بخش زیر
برای لیستی از فرمت های خروجی معتبر

-v پیام های پرمخاطب را روشن کنید با این کار خطوط فرمانی که در آنها اجرا می شود چاپ می شود
کامپایل واقعی را به همراه اطلاعات نسخه از انواع مختلف انجام دهید
اجزاء و همچنین نسخه محصول به عنوان یک کل. متوجه خواهید شد
که خطوط فرمان شامل یک مرجع به یک فایل موقت کلیدی است که عبور می کند
اطلاعات به کامپایلر مناسب برای جلوگیری از حذف آن فایل در
در پایان فرآیند، نام فایل خود را در متغیر محیطی ارائه دهید
IVERILOG_ICONFIG.

-V نسخه کامپایلر را چاپ کرده و از آن خارج شوید.

-Wکلاس کلاس های مختلف هشدار را روشن کنید. را ببینید هشدار انواع بخش زیر برای
توصیف گروه های مختلف هشدار دهنده اگر چندتایی باشد -W سوئیچ ها استفاده می شود
مجموعه هشدار، اتحاد همه کلاس های درخواستی است.

-ylibdir
دایرکتوری را به مسیر جستجوی ماژول کتابخانه اضافه کنید. هنگامی که کامپایلر یک را پیدا می کند
ماژول تعریف نشده، در این فهرست ها به دنبال فایل هایی با نام مناسب می گردد.

-Yپسوند
اضافه کردن پسوند به لیست پسوندهای نام فایل پذیرفته شده مورد استفاده در هنگام جستجوی a
کتابخانه برای سلول ها فهرست به طور پیش فرض روی یک ورودی است .v.

MODULE کتابخانه ها


کامپایلر Icarus Verilog از کتابخانه های ماژول به عنوان دایرکتوری هایی که حاوی Verilog هستند پشتیبانی می کند
فایل های منبع. در حین توضیح، کامپایلر متوجه نمونه سازی undefined می شود
انواع ماژول اگر کاربر فهرست های جستجوی کتابخانه را مشخص کند، کامپایلر جستجو می کند
دایرکتوری فایل هایی با نام نوع ماژول از دست رفته. اگر چنین فایلی را پیدا کرد،
آن را به عنوان یک فایل منبع Verilog بارگیری می کند، آنها دوباره تلاش می کنند تا ماژول را شرح دهند.

فایل های ماژول کتابخانه باید فقط شامل یک ماژول باشد، اما این یک الزام نیست.
ماژول های کتابخانه ممکن است به ماژول های دیگر در کتابخانه یا در طراحی اصلی اشاره کنند.

اهداف


کامپایلر Icarus Verilog از اهداف مختلفی برای اهداف مختلف پشتیبانی می کند
-t سوئیچ برای انتخاب هدف مورد نظر استفاده می شود.

تهی هدف پوچ باعث می شود هیچ کدی تولید نشود. برای بررسی مفید است
نحو منبع Verilog.

vp این پیش فرض است. هدف vvp کدی را برای زمان اجرا vvp تولید می کند. خروجی
یک برنامه کامل است که طراحی را شبیه سازی می کند اما باید توسط برنامه اجرا شود vp
فرمان

fpga این یک هدف سنتز است که از انواع دستگاه های fpga، عمدتا توسط EDIF پشتیبانی می کند
خروجی فرمت مولد کد fpga Icarus Verilog می تواند کامل تولید کند
طرح ها یا ماکروهای EDIF که به نوبه خود می توانند توسط دیگران به طرح های بزرگتر وارد شوند
ابزار. را fpga هدف دلالت بر سنتز دارد -S پرچم.

vhdl این هدف یک ترجمه VHDL از فهرست شبکه Verilog تولید می کند. خروجی a است
یک فایل منفرد حاوی موجودیت های VHDL مربوط به ماژول های Verilog
کد منبع توجه داشته باشید که فقط زیر مجموعه ای از زبان Verilog پشتیبانی می شود. دیدن
ویکی برای اطلاعات بیشتر

هشدار انواع


اینها انواع اخطارهایی هستند که می توانند توسط سازمان انتخاب شوند -W تعویض. همه هشدار
انواع (غیر از تمام) همچنین می تواند با پیشوند باشد نه- برای خاموش کردن آن هشدار این هست
مفیدترین بعد از a -دیو استدلال برای سرکوب انواع هشدار جدا شده.

تمام این امکان ضمنی، portbind، select-range، timescale و
دسته های هشدار حساسیت-کل آرایه.

ضمنی
این امکان هشدار برای ایجاد اعلامیه های ضمنی را فراهم می کند. به عنوان مثال، اگر الف
سیم اسکالر X استفاده می شود اما در منبع Verilog اعلام نشده است، این a را چاپ می کند
هشدار در اولین استفاده

portbind
این هشدارها را برای پورت های نمونه های ماژول که متصل نیستند فعال می کند
اما احتمالا باید باشد به عنوان مثال، درگاه‌های ورودی آویزان، a را ایجاد می‌کنند
هشدار

انتخاب محدوده
این هشدارها را برای انتخاب های ثابت خارج از محدوده فعال می کند. این شامل جزئی یا
انتخاب‌های کاملاً خارج از کران و همچنین انتخابی که حاوی «bx ​​یا bz» است
شاخص.

زمانبندی
این هشدارها را برای استفاده ناسازگار از دستورالعمل مقیاس زمانی امکان پذیر می کند. تشخیص می دهد
اگر برخی از ماژول ها مقیاس زمانی نداشته باشند، یا اگر ماژول ها مقیاس زمانی را از دیگری به ارث ببرند
فایل. هر دو احتمالاً به این معنی است که مقیاس‌های زمانی و زمان‌بندی شبیه‌سازی ناسازگار هستند
می تواند گیج کننده و وابسته به ترتیب تدوین باشد.

اینفلوپ این اخطارها را برای دستورات همیشه فعال می کند که ممکن است دارای حلقه های بی نهایت زمان اجرا باشند
(دارای مسیرهایی بدون تاخیر یا صفر). این دسته از هشدارها شامل نمی شود -دیو
و از این رو الف ندارد نه- گونه. یک پیام خطای کشنده همیشه وجود خواهد داشت
زمانی چاپ می شود که کامپایلر بتواند تعیین کند که قطعاً بی نهایت وجود خواهد داشت
حلقه (همه مسیرها تاخیر ندارند یا صفر هستند).

هنگامی که مشکوک هستید که عبارت همیشه در حال تولید یک حلقه بی نهایت در زمان اجرا است از این استفاده کنید
برای یافتن عبارات همیشه که باید منطق آنها تأیید شود، پرچم گذاری کنید. این است
انتظار می رود که بسیاری از اخطارها مثبت کاذب باشند، زیرا کد رفتار می کند
مقدار همه متغیرها و سیگنال ها نامشخص است.

حساسیت-کل-بردار
این اخطارها را برای زمانی که قسمتی در یک عبارت "همیشه @*" انتخاب می شود، فعال می کند
منجر به اضافه شدن کل بردار به لیست حساسیت ضمنی می شود.
اگرچه این رفتار توسط استاندارد IEEE تجویز شده است، اما آن چیزی نیست که ممکن است
اگر بردار بزرگ باشد، قابل انتظار است و می تواند پیامدهای عملکردی داشته باشد.

حساسیت-کل آرایه
این اخطار را برای زمانی که یک کلمه در یک عبارت "همیشه @*" انتخاب می کند، فعال می کند
منجر به اضافه شدن کل آرایه به لیست حساسیت ضمنی می شود. با اينكه
این رفتار توسط استاندارد IEEE تجویز شده است، آن چیزی نیست که ممکن است باشد
انتظار می رود و اگر آرایه بزرگ باشد می تواند پیامدهای عملکردی داشته باشد.

SYSTEM FUNCTION جدول فایل ها


اگر نام فایل منبع به صورت a .sft پسوند، سپس به عنوان یک جدول عملکرد سیستم در نظر گرفته می شود
فایل. یک فایل جدول تابع سیستم برای توصیف انواع برگشتی به کامپایلر استفاده می شود
برای توابع سیستم این امر ضروری است زیرا کامپایلر به این اطلاعات نیاز دارد
عبارات پیچیده ای که حاوی این توابع سیستم هستند، اما نمی توانند sizetf را اجرا کنند
از آنجایی که زمان اجرا ندارد کار می کند.

فرمت جدول ASCII است، یک تابع در هر خط. خطوط خالی نادیده گرفته می شوند و
خطوطی که با ' شروع می شوند#شخصیت خطوط نظر هستند. هر خط بدون نظر شروع می شود
با نام تابع، سپس نوع vpi (یعنی vpiSysFuncReal). انواع زیر هستند
پشتیبانی:

vpiSysFuncReal
تابع یک مقدار واقعی/زمانی را برمی‌گرداند.

vpiSysFuncInt
تابع یک عدد صحیح برمی گرداند.

vpiSysFuncSized
تابع یک بردار با عرض داده شده برمی گرداند و علامت یا بدون علامت است
با توجه به پرچم

COMMAND فایل ها


فایل فرمان به کاربر اجازه می دهد نام فایل منبع و خط فرمان خاصی را قرار دهد
به جای یک خط فرمان طولانی، به یک فایل متنی تبدیل می شود. فایل های فرمان می تواند شامل C باشد
یا نظرات به سبک C++، و همچنین # نظر، اگر # خط را شروع کند.

پرونده نام
یک نام ساده یا مسیر فایل به عنوان نام یک فایل منبع Verilog در نظر گرفته می شود.
مسیر با اولین کاراکتر بدون فضای سفید شروع می شود. متغیرها هستند
در نام فایل جایگزین شده است.

-c cmdfile -f cmdfile
A -c or -f توکن یک فایل فرمان را پیشوند می دهد، دقیقاً مانند دستور
خط فایل cmd ممکن است در همان خط یا خط بعدی بدون نظر باشد.

-y libdir
A -y token یک دایرکتوری کتابخانه را در فایل فرمان پیشوند می دهد، دقیقاً مانند آن
در خط فرمان پارامتر به -y پرچم ممکن است در همان خط یا در همان خط باشد
خط بعدی بدون نظر

متغیرها در libdir جایگزین می شوند.

+incdir+includeir
La +incdir+ توکن در فایل‌های فرمان، فهرست‌هایی را برای جستجوی فایل‌های شامل می‌دهد
به همان شکلی که -I پرچم ها در خط فرمان کار می کنند. تفاوت این است
آن چندگانه +includedir دایرکتوری ها پارامترهای معتبر برای یک واحد هستند +incdir+
توکن، اگرچه ممکن است چندین مورد نیز داشته باشید +incdir+ خطوط

متغیرها در includeir جایگزین می شوند.

+libext+EXT
La +libext رمز در فایل های فرمان پنج پسوند فایل را امتحان کنید در هنگام جستجوی یک
فایل کتابخانه این در ارتباط با -y پرچم‌ها را برای فهرست کردن پسوندهای امتحان کنید
در هر دایرکتوری قبل از رفتن به دایرکتوری کتابخانه بعدی.

+libdir+دیر
این روش دیگری برای تعیین فهرست راهنمای کتابخانه است. پرچم -y را ببینید.

+libdir-nocase+دیر
این مانند است +libdir بیانیه، اما نام فایل ها در داخل دایرکتوری ها اعلام شده است
در اینجا به حروف بزرگ و کوچک حساس نیست. نام ماژول گم شده در جستجو نیازی به مطابقت ندارد
نام پرونده تا زمانی که حروف صحیح باشند. به عنوان مثال، "فو" مسابقات
"Foo.v" اما نه "bar.v".

+ تعریف +نام=ارزش
La + تعریف + نشانه همان است -D گزینه در خط فرمان ارزش
بخشی از توکن اختیاری است.

+timescale+ارزش
La +timescale+ توکن برای تنظیم مقیاس زمانی پیش فرض برای شبیه سازی استفاده می شود.
این واحدهای زمانی و دقت قبل از هر دستورالعمل مقیاس زمانی یا بعد از الف است
«دستورالعمل بازنشانی. پیش فرض 1s/1s است.

+toupper-filename
این نشانه باعث می شود که نام فایل های بعد از این در فایل دستوری به آن ترجمه شود
حروف بزرگ این به شرایطی کمک می کند که یک دایرکتوری از یک DOS عبور کرده باشد
ماشین، و در این فرآیند نام فایل‌ها مخفی می‌شوند.

+tolower-filename
این شبیه به +toupper-filename هک شرح داده شده در بالا

+integer-width+ارزش
این به برنامه نویس اجازه می دهد تا عرض متغیرهای عدد صحیح را انتخاب کند
منبع Verilog. پیش فرض 32 است، مقدار می تواند هر مقدار صحیح دلخواه باشد.

متغیرها IN COMMAND فایل ها


در موارد خاص، iverilog از متغیرها در فایل های فرمان پشتیبانی می کند. اینها رشته هایی از
فرم "$(نام متغیر)" یا "${نام متغیر}"، جایی که نام متغیر نام متغیر محیطی است
خواندن. کل رشته با محتویات آن متغیر جایگزین می شود. متغیرها هستند
فقط در زمینه‌هایی جایگزین می‌شود که صریحاً از آنها پشتیبانی می‌کنند، از جمله فایل و فهرست
رشته های.

مقادیر متغیر از محیط سیستم عامل می آیند و نه از پیش پردازنده
در جای دیگری از فایل یا خط فرمان تعریف می کند.

از پیش تعریف شده ماکروها


ماکروهای زیر توسط کامپایلر از پیش تعریف شده اند:

__ایکاروس__ = 1
این همیشه هنگام کامپایل با Icarus Verilog تعریف می شود.

__VAMS_ENABLE__ = 1
اگر Verilog-AMS فعال باشد، این مورد تعریف می شود.

مثال ها


این مثال ها فرض می کنند که شما یک فایل منبع Verilog به نام hello.v در جریان دارید
فهرست راهنما

برای کامپایل hello.v در یک فایل اجرایی به نام a.out:

iverilog hello.v

برای کامپایل hello.v در یک فایل اجرایی به نام hello:

iverilog -o سلام hello.v

برای کامپایل و اجرای صریح با استفاده از زمان اجرا vvp:

iverilog -ohello.vvp -tvvp hello.v

با استفاده از خدمات onworks.net از irilog به صورت آنلاین استفاده کنید


سرورها و ایستگاه های کاری رایگان

دانلود برنامه های ویندوز و لینوکس

دستورات لینوکس

Ad