AnglaisFrançaisEspagnol

Ad


Icône de favori OnWorks

couvert - En ligne dans le Cloud

Exécutez couvert dans le fournisseur d'hébergement gratuit OnWorks sur Ubuntu Online, Fedora Online, l'émulateur en ligne Windows ou l'émulateur en ligne MAC OS

Il s'agit de la commande couverte qui peut être exécutée dans le fournisseur d'hébergement gratuit OnWorks en utilisant l'un de nos multiples postes de travail en ligne gratuits tels que Ubuntu Online, Fedora Online, l'émulateur en ligne Windows ou l'émulateur en ligne MAC OS

PROGRAMME:

Nom


Couvert - Verilog Code Coverage Analyzer

SYNTAXE


couvert [options_globales] But [Options]
couvert [options_globales] fusionner [Options] base_de_données_existante base_de_données_à_fusionner+
couvert [options_globales] rapport [Options] fichier_base_de_données
couvert [options_globales] classer [Options] base de données_to_rank base de données_to_rank+
couvert [options_globales] exclure [Options] exclusion_id+ fichier_base_de_données

DESCRIPTION


desservis est un outil d'analyse de couverture de code Verilog qui peut être utile pour déterminer comment
Eh bien, une suite de tests de diagnostic couvre la conception en cours de test. Lectures couvertes dans le
Fichiers de conception Verilog et un fichier de vidage au format VCD, LXT2 ou FST à partir d'une exécution de diagnostic et
génère un fichier de base de données appelé fichier de base de données de description de couverture (CDD), en utilisant le
commande de score. La commande de partition de Covered peut également être utilisée pour générer un fichier CDD
et un module Verilog pour utiliser Covered comme module VPI dans un banc d'essai qui peut obtenir
informations de couverture en parallèle avec la simulation (voir EN UTILISANT COUVERT AS A VPI MODULE). La
le fichier CDD résultant peut être fusionné avec d'autres fichiers CDD de la même conception pour créer
couverture accumulée, à l'aide de la commande merge. Une fois le fichier CDD créé, l'utilisateur peut
utilisez Covered pour générer divers rapports de couverture lisibles par l'homme dans un format ASCII ou utilisez
L'interface graphique de Covered pour examiner de manière interactive les résultats de la couverture, à l'aide de la commande report. Si
des points de couverture non couverts sont détectés que l'utilisateur souhaite exclure de la couverture, cela peut
être géré avec la commande d'exclusion de la ligne de commande ou dans l'interface graphique. Lorsque plusieurs
Les fichiers CDD sont créés à partir de la même conception, l'utilisateur peut obtenir un classement de couverture de
ces fichiers CDD pour déterminer un ordre idéal pour les tests de régression ainsi que pour comprendre
quels fichiers CDD peuvent être exclus des régressions en raison de leur incapacité à atteindre de nouveaux
points de couverture. De plus, dans le cadre de la commande de score de Covered, la condition de course
les possibilités se trouvent dans les fichiers de conception et peuvent être soit ignorées, soit signalées comme des avertissements
ou signalés comme des erreurs. En spécifiant les conditions de course comme des erreurs, Covered peut également être utilisé
comme vérificateur des conditions de course.

GLOBAL OPTIONS


Ces options sont placées immédiatement après le mot-clé couvert dans la ligne de commande. Ils
peut être utilisé pour n'importe quelle commande (à l'exception de -v et -h) et ont le même effet dans
chaque cas.

-B Brouiller. Obscurcit tous les noms sensibles à la conception avant de sortir dans
format lisible par l'utilisateur. Cette option est utile lors du partage de la sortie avec le
développeurs de Covered à des fins de débogage.

-D Déboguer. Afficher des informations utiles pour le débogage des problèmes d'outil. Remarque : ceci
L'option n'est désormais disponible que lorsque couvert est construit avec --enable-debug
option de configuration.

-h Aider. Affichez ces informations d'utilisation.

-P [nom de fichier]
Mode de profilage. Active le profileur de code source interne qui produira un
rapport de profilage de la commande run soit au nom de fichier ou, si non
nom de fichier est présent, à un fichier appelé couvert.prof. Cette option n'est disponible que
si le --enable-profilage l'option de configuration a été spécifiée lorsque Couvert était
construit.

-Q Mode silencieux. Provoque la suppression de toutes les sorties.

-T Mode laconique. Provoque la suppression de toutes les sorties à l'exception de l'avertissement
messages et les informations d'en-tête Covered.

-v Version. Afficher la version Couverte actuelle.

COMMANDES


But Analyse les fichiers Verilog et les fichiers de vidage VCD/LXT2/FST pour créer un fichier de base de données utilisé pour
fusion et rapport.

fusionner Fusionne deux ou plusieurs fichiers de base de données en un seul.

rapport Génère des rapports de couverture lisibles par l'homme à partir d'un fichier de base de données ou démarre la couverture
rapport GUI.

classer Génère un rapport qui spécifie un ordre idéal pour exécuter des régressions et spécifie
Les fichiers CDD qui n'ajoutent pas de nouvelles informations de couverture (et peuvent donc être exclus
des régressions, si désiré).

exclure
Autorise un ou plusieurs points de couverture (identifiés avec des identifiants d'exclusion dans un rapport
générés avec l'option -x) pour que leur propriété d'exclusion soit basculée (inclure à
exclure ou exclure pour inclure) et, si la propriété d'exclusion est définie sur exclure,
éventuellement permettre qu'un motif d'exclusion lui soit associé et stocké dans
le fichier CDD spécifié.

SCORE COMMAND


Les options suivantes sont valides pour la commande score :

-A d'accord Provoque l'utilisation des assertions OVL pour la couverture des assertions. Ce drapeau doit être donné
à la commande score si des métriques de couverture d'assertion sont nécessaires dans le rapport
commander.

-cdd base de données
Identique à l'option -o. Utile lorsque le fichier CDD en cours de notation est une entrée dans la partition
commander.

-cli [nom de fichier]
Provoque l'utilisation du débogueur de ligne de commande pendant le scoring du fichier de vidage VCD/LXT2/FST.
If nom de fichier est spécifié, ce fichier contient des informations enregistrées lors d'un appel précédent
à savehist sur la CLI et provoque la relecture de l'historique contenu dans ce fichier
avant l'invite de commande CLI. Si nom de fichier n'est pas spécifié, l'invite CLI
être immédiatement disponible au début de la simulation. Cette option n'est disponible que
lorsque Couvert est configuré avec le --enable-debug option.

-conservateur
Si cette option est spécifiée, tous les blocs logiques contenant du code pouvant entraîner
les écarts de couverture conduisant à des résultats de couverture potentiellement inexacts sont
retiré de l'examen de la couverture. Voir le Guide de l'utilisateur pour plus d'informations sur ce que
type de code peut entraîner des inexactitudes de couverture.

-vars de dump [nom de fichier]
Si cette option est spécifiée sans les options -vcd ou -lxt, la conception est analysée,
un fichier CDD est créé et un fichier de module Verilog de niveau supérieur nommé nom de fichier (si ce
valeur est spécifiée) ou "covered_dump.v" (si nom de fichier n'est pas spécifié) est créé.
Ce fichier est utilisé dans la compilation du simulateur pour créer un fichier de vidage qui est
optimisé pour obtenir une couverture pour le fichier CDD spécifié. Si le -vcd ou
Les options -lxt sont spécifiées, cette option n'a aucun effet. Consultez le Guide de l'utilisateur pour
plus d'informations sur l'utilisation de cette option.

-D définir_nom
Définit le nom spécifié à 1.

-D define_name=valeur
Définit le nom spécifié à la valeur spécifiée.

-e nom_bloc
Nom du module, tâche, fonction ou bloc de début/fin nommé pour ne pas marquer. cause tout
les sous-blocs de l'arborescence Verilog sous ce bloc ne doivent pas non plus être notés.

-un Exclut tous les blocs Always de la prise en compte de la couverture.

-ec Exclut toutes les affectations continues d'être pris en considération pour la couverture.

-Oeuf Exclut tous les blocs initiaux d'être pris en compte pour la couverture.

-si Exclut tous les blocs finaux d'être pris en considération pour la couverture.

-ep [prénom]
Exclut tout le code trouvé entre les pragmas '//couverture désactivée' et '//couverture activée'
intégré dans la conception. Si prénom est spécifié, le mot-clé dans le pragma sera
être changé en ce nom au lieu de la "couverture" par défaut, permettant à l'utilisateur de
changer l'apparence du pragma s'il entre en conflit avec d'autres outils.

-F module_name=[in_expr,]out_expr
Indique à l'analyseur où trouver le FSM situé dans le module nom_module qui
a une expression d'état d'entrée appelée expr_in et l'expression d'état de sortie appelée
expr_out. Si expr_in n'est pas spécifié, expr_out est utilisé à la fois comme entrée et
expression d'état de sortie.

-f nom de fichier
Nom du fichier contenant des arguments supplémentaires à analyser.

-fst nom de fichier
Nom du fichier de vidage FST avec lequel marquer la conception. Si -vcd, -lxt ou cette option n'est pas
utilisé, Covered créera uniquement un fichier CDD initial à partir de la conception et ne
essayer de marquer le design.

-g [nom_module=](1|2|3)
Permet à l'utilisateur de limiter l'analyseur à une génération spécifique du Verilog
standard pour un module spécifique ou la conception entière, où 1=Verilog-1995,
2=Verilog-2001, 3=SystemVerilog. Si nom_module= est spécifié, l'analyseur utilise le
norme Verilog spécifiée pour ce module uniquement, permettant au reste de la conception de
utiliser la norme mondiale. Par défaut, la norme mondiale est définie sur la plus récente
version (3). Cette option peut être spécifiée plusieurs fois pour un appel donné au
commande de score ; cependant, si l'option -g spécifie plus que la valeur globale (c'est-à-dire,
sans module= préfixe), seule la dernière valeur de l'option sera utilisée.

-h Affiche ces informations d'aide.

-I annuaire
Répertoire pour trouver les fichiers Verilog inclus.

-i nom de l'instance
Référence hiérarchique Verilog au module qui se trouve en haut de l'arborescence à
marqué. Cette option est nécessaire si le module pour vérifier la couverture n'est pas le niveau supérieur
module dans la conception. Si non spécifié, -t est utilisée.

-lxt nom de fichier
Nom du fichier de vidage LXT2 avec lequel marquer la conception. Si -vcd, -fst ou cette option n'est pas
utilisé, Covered créera uniquement un fichier CDD initial à partir de la conception et ne
essayer de marquer le design.

-m message
Permet à l'utilisateur de spécifier des informations sur ce fichier CDD. Ces informations peuvent
être n'importe quoi (les messages avec des espaces doivent être entourés de guillemets doubles
points), mais peut inclure quelque chose sur les arguments de simulation pour plus facilement
lier le fichier CDD à sa simulation dans le but de recréer le fichier CDD.

-o base de données
Nom de la base de données dans laquelle écrire les informations de couverture. Si non spécifié, la sortie
le nom de fichier de la base de données sera "cov.cdd".

-p nom de fichier
Remplace le nom de fichier par défaut utilisé pour stocker la sortie du préprocesseur intermédiaire.

-P paramètre_scope=valeur
Exécute un defparam sur le paramètre spécifié avec la valeur.

-rS Lorsque les contrôles de condition de concurrence sont violés, les blocs logiques incriminés sont supprimés
de la prise en compte de la couverture et toute sortie est supprimée concernant la course
violation des conditions. Voir la documentation utilisateur pour plus d'informations sur la course
l'utilisation de la vérification de l'état.

-rW Lorsque les contrôles de condition de concurrence sont violés, les blocs logiques incriminés sont supprimés
de la prise en compte de la couverture et la violation des conditions de concurrence est sortie. C'est
le comportement par défaut pour la gestion des conditions de concurrence. Voir la documentation utilisateur pour plus
informations sur l'utilisation de la vérification des conditions de concurrence.

-ré Lorsque les vérifications des conditions de concurrence sont violées, la raison est affichée et le score se termine
immédiatement. Voir la documentation utilisateur pour plus d'informations sur les conditions de concurrence
vérifier l'utilisation.

-rI[=module prénom]
If module prénom n'est pas spécifié, la vérification des conditions de concurrence est complètement ignorée pour
toute la conception. Si module prénom est spécifié, la vérification des conditions de concurrence est ignorée
pour le module spécifié. Voir la documentation utilisateur pour plus d'informations sur la course
l'utilisation de la vérification de l'état.

-rP[=prénom])
Utilise des pragmas intégrés pour ignorer certains codes de la vérification des conditions de concurrence
considération (si prénom est spécifié, il est utilisé comme mot-clé pragma). Voir l'utilisateur
documentation pour plus d'informations sur l'utilisation de la vérification des conditions de concurrence.

-S Affiche des statistiques de simulation une fois la simulation terminée. Ces informations sont
actuellement uniquement utile pour les développeurs de Covered.

-t haut niveau module
Spécifie le nom de module du module le plus haut qui sera mesuré. Noter que
ce module n'a pas besoin d'être le module le plus haut dans le simulateur. Ce champ
est requis pour tous les appels à la commande score.

-top_ts calendrier
Cette option n'est valide que lorsque les options -vpi ou -dumpvars ont été spécifiées.
Cette option permet à l'utilisateur de spécifier une échelle de temps pour le module Verilog généré
créé avec l'option -vpi/-dumpvars. Si cette option n'est pas spécifiée, non
une échelle de temps sera créée pour le module généré. La valeur de calendrier is
spécifié comme suit :

(1|10|100)(s|ms|us|ns|ps|fs)/(1|10|100)(s|ms|us|ns|ps|fs)

Si un espace est nécessaire entre les différentes valeurs, placez tout le contenu de
calendrier entre guillemets doubles.

-ts nombre
Lorsque la notation se produit, cette option permet à l'utilisateur de voir jusqu'où le simulateur a
progressé en affichant le pas de temps actuel sur la sortie standard. La valeur de
nombre spécifie combien de pas de temps peuvent être simulés avant de sortir
le pas de temps actuel (résulte en moins d'appels au flux de sortie).

-T (min|type|max)
Spécifie la valeur à utiliser lors de la rencontre d'une expression de délai sous la forme :
min:type:max. Si cette option n'est pas spécifiée, 'typ' select est utilisé par défaut.

-v nom de fichier
Nom du fichier Verilog spécifique à évaluer.

-vcd nom de fichier
Nom du fichier de vidage VCD avec lequel marquer la conception. Si -lxt, -fst ou cette option n'est pas
utilisé, Covered créera uniquement un fichier CDD initial à partir de la conception et ne
essayer de marquer le design.

-vpi [nom de fichier]
Si cette option est spécifiée sans les options -vcd, -lxt ou -fst, la conception est
analysé, un fichier CDD est créé et un fichier de module Verilog de niveau supérieur nommé nom de fichier
(si cette valeur est spécifiée) ou "covered_vpi.v" (si nom de fichier n'est pas spécifié) est
créé avec un fichier de table PLI appelé nom de fichier.ta b ou "covered_vpi.v.ta b".
Ces deux fichiers sont utilisés dans la compilation du simulateur pour utiliser Covered comme
un module VPI. Si les options -vcd, -lxt ou -fst sont spécifiées, cette option
n'a aucun effet.

-Wignorer
Supprimer la sortie des avertissements pendant l'analyse et la simulation du code.

-y annuaire
Répertoire pour trouver des fichiers Verilog non spécifiés.

+libext+.extension[+.extension]*+
Extensions de fichiers Verilog pour permettre le scoring.

FUSIONNER COMMAND


Les options suivantes sont valides pour la commande de fusion :

-d nom de fichier
Répertoire pour rechercher les fichiers CDD à inclure. Cette option est utilisée conjointement
les -ext option qui spécifie l'extension de fichier à utiliser pour déterminer
quels fichiers dans le répertoire sont des fichiers CDD.

-Er (premier|dernier|TOUTE|neufs|et les sites anciens)
Spécifie comment gérer la résolution des motifs d'exclusion. Si deux ou plusieurs fichiers CDD
fusionnés ont des motifs d'exclusion spécifiés pour le même point de couverture, le
la raison d'exclusion doit être résolue (sauf s'il s'agit de la même valeur de chaîne). Si
cette option n'est pas spécifiée et un conflit est trouvé, Covered sera interactivement
demander une entrée pour chaque exclusion quant à la façon de la gérer. Si cette option est
spécifié, il indique à Covered comment gérer tous les conflits de motifs d'exclusion. Les
les valeurs sont les suivantes : .br

premier - Le fichier CDD contenant le premier motif d'exclusion est utilisé.

dernier - Le fichier CDD contenant le dernier motif d'exclusion est utilisé.

TOUTE - Tous les motifs d'exclusion sont utilisés (concaténés).

neufs - Utilisez le motif d'exclusion le plus récent spécifié.

et les sites anciens - Utilisez le motif d'exclusion le plus ancien spécifié.

-ext extension
Utilisé conjointement avec le -d option. Sinon -ext les options sont spécifiées sur le
ligne de commande, la valeur par défaut de '.cdd' est utilisée. Notez qu'un point (.) doit
être spécifié.

-f nom de fichier
Nom du fichier contenant des arguments supplémentaires à analyser.

-h Affiche ces informations d'aide.

-m message
Permet à l'utilisateur de spécifier des informations sur ce fichier CDD. Ces informations peuvent
être n'importe quoi (les messages avec des espaces doivent être entourés de guillemets doubles
Des marques).

-o nom de fichier
Fichier vers lequel sortir la nouvelle base de données. Si cet argument n'est pas spécifié, le
base_de_données_existante est utilisé comme nom de base de données de sortie.

RAPPORT COMMAND


Les options suivantes sont valides avec la commande report :

-b Si la sortie détaillée de la logique combinatoire est signalée et que l'expression est un vecteur
opération, cette option génère les informations de couverture au niveau du bit.

-c If -v est spécifié, affiche uniquement les métriques couvertes. La valeur par défaut est d'afficher à découvert
information seulement.

-d (s|d|v)
Niveau de détail à fournir dans les informations du rapport de couverture (s = résumé, d =
détaillé, v = verbeux). La valeur par défaut est résumé.

-e Génère tous les points de couverture exclus dans le fichier de rapport avec tout
motifs d'exclusion si le -d d or -d v les options sont spécifiées.

-f nom de fichier
Nom du fichier contenant des arguments supplémentaires à analyser.

-h Affiche ces informations d'aide.

-i Fournit des informations de couverture pour les instances au lieu du module.

-m [l][t][c][f][r][a][m]
Type(s) de métriques à signaler. l=ligne, t=bascule, c=logique combinatoire, f=état FSM
et transition d'état, r=conditions de course, a=assertion, m=mémoire. La valeur par défaut est ltcf.

-o nom de fichier
Fichier vers lequel sortir les informations du rapport. La valeur par défaut est la sortie standard.

-s Empêche la sortie des modules/instances qui ne contiennent aucune information de couverture
au rapport. Utilisé pour aider à éliminer les informations potentiellement dénuées de sens du
signaler.

-v Obsolète. Remplacé par '-dd' ou '-d v'.

-vue Démarre l'interface graphique pour les rapports de couverture interactifs.

-w (nombre)
Spécifie la largeur de ligne maximale (en caractères) qui peut être utilisée pour sortir Verilog
informations. Si cette option n'est pas spécifiée, tout le code Verilog du rapport sera
conserver le même formatage que celui spécifié dans le code Verilog d'origine. Si ce
est spécifiée, le code Verilog sera formaté pour utiliser autant de la
ligne que possible, enveloppant le texte lorsque la ligne atteint la largeur de ligne maximale. Les
la largeur de ligne maximale par défaut est de 115 caractères (cette valeur est utilisée si aucun nombre n'est
spécifié avec l'option -w). Si un nombre est spécifié avec l'option -w, cela
La valeur est utilisée pour la largeur de ligne maximale.

-x Affiche les identifiants d'exclusion de tous les points de couverture non couverts et exclus dans
parenthèse avant la sortie détaillée associée du point de couverture. Les
les identifiants d'exclusion peuvent être utilisés pour exclure/inclure des points de couverture via l'exclusion
commander.

RANG COMMAND


Les options suivantes sont valides avec la commande rank :

-d nom de fichier
Répertoire pour rechercher les fichiers CDD à inclure. Cette option est utilisée conjointement
les -ext option qui spécifie l'extension de fichier à utiliser pour déterminer
quels fichiers dans le répertoire sont des fichiers CDD.

-profondeur nombre
Spécifie le nombre minimum de fichiers CDD nécessaires pour atteindre chaque point de couverture. Les
valeur de nombre doit être supérieur à zéro. La valeur par défaut est 1.

-ext extension
Utilisé conjointement avec le -d option. Sinon -ext les options sont spécifiées sur le
ligne de commande, la valeur par défaut de '.cdd' est utilisée. Notez qu'un point (.) doit
être spécifié.

-f nom de fichier
Nom du fichier contenant des arguments supplémentaires à analyser.

-h Affiche des informations d'aide pour la commande de classement.

-noms-seulement
Si spécifié, affiche uniquement les noms de fichiers CDD nécessaires qui doivent être exécutés dans le
ordre qu'ils doivent être exécutés. Si cette option n'est pas définie, une sortie de style rapport est
fourni avec des informations supplémentaires. Cette option est censée être utile dans les scripts
qui veulent que seuls les noms de fichiers CDD s'exécutent en sortie.

-o nom de fichier
Nom du fichier vers lequel sortir les informations de classement. La valeur par défaut est la sortie standard.

-requis-cdd nom de fichier
Nom du CDD qui doit être considéré comme un CDD obligatoire à classer (c'est-à-dire qu'il ne peut pas être
exclu pour quelque raison que ce soit).

-liste-obligatoire nom de fichier
Nom d'un fichier qui contient une liste de CDD qui devraient être considérés comme des CDD requis
évaluer. Les noms de fichiers doivent être séparés par des espaces ou des caractères de nouvelle ligne
au sein du fichier.

-v Provoque l'affichage d'une sortie détaillée lorsque la commande rank est exécutée. Il sort
des informations de diagnostic sur chacune des différentes phases de l'algorithme de classement
y compris le temps d'exécution, le nombre de fichiers CDD inclus/exclus et le nombre de couverture
points touchés par les CDD classés au cours de chaque phase. Ces informations sont destinées à être
utile pour ceux qui s'intéressent à l'algorithme de classement et à ses performances.

-poids-assertion nombre
Spécifie une pondération relative pour la couverture d'assertion utilisée pour classer non unique
points de couverture. La valeur de nombre est relatif aux valeurs utilisées dans le
-poids-bascule, -poids-mémoire, -peigne-poids, -poids-fsm et -ligne de poids classer
options de commande.

-peigne-poids nombre
Spécifie une pondération relative pour la couverture logique combinatoire utilisée pour classer
points de couverture non uniques. La valeur de nombre est relatif aux valeurs utilisées dans
le -poids-bascule, -poids-mémoire, -poids-assertion, -poids-fsm et -ligne de poids
options de commande de classement.

-poids-fsm nombre
Spécifie une pondération relative pour l'état FSM et la couverture de transition d'état utilisée pour
classer les points de couverture non uniques. La valeur de nombre est relatif aux valeurs
Utilisé dans le -poids-bascule, -poids-mémoire, -peigne-poids, -poids-assertion et
-ligne de poids options de commande de classement.

-ligne de poids nombre
Spécifie une pondération relative pour la couverture de ligne utilisée pour classer la couverture non unique
points. La valeur de nombre est relatif aux valeurs utilisées dans le -poids-bascule,
-poids-mémoire, -peigne-poids, -poids-fsm et -poids-assertion options de commande de classement.

-poids-mémoire nombre
Spécifie une pondération relative pour la couverture mémoire utilisée pour classer la couverture non unique
points. La valeur de nombre est relatif aux valeurs utilisées dans le -poids-bascule,
-ligne de poids, -peigne-poids, -poids-fsm et -poids-assertion options de commande de classement.

-poids-bascule nombre
Spécifie une pondération relative pour la couverture à bascule utilisée pour classer la couverture non unique
points. La valeur de nombre est relatif aux valeurs utilisées dans le -poids-mémoire,
-ligne de poids, -peigne-poids, -poids-fsm et -poids-assertion options de commande de classement.

EXCLURE COMMAND


Les options suivantes sont valides avec la commande d'exclusion :

-f nom de fichier
Spécifie le nom d'un fichier qui contient plus d'options pour la commande d'exclusion.
Cette option peut être spécifiée autant de fois que nécessaire pour un même appel au
commande d'exclusion.

-h Génère des informations d'utilisation pour la commande d'exclusion.

-m Permet d'associer un message d'exclusion à tous les points de couverture en cours
de l'état inclus à l'état exclu. Pour chaque point de couverture qui rencontre
cette exigence, l'utilisateur sera invité à saisir une raison. La raison peut être
n'importe quelle longueur et n'importe quel nombre de lignes ; cependant, tous les caractères de mise en forme (c'est-à-dire,
nouvelles lignes, tabulations, espaces supplémentaires, etc.) seront supprimés et remplacés par un seul
espace lorsqu'il est affiché plus tard. Pour terminer la saisie d'un message, appuyez sur un retour,
entrez un seul point (.) et appuyez à nouveau sur retour. La dernière période
caractère ne fera pas partie du message d'exclusion.

-p Tous les points de couverture spécifiés impriment leur statut d'exclusion actuel et
raison d'exclusion (s'il existe pour le point de couverture exclu) à la norme
sortir. Si cette option est spécifiée, le -m l'option sera ignorée.

EN UTILISANT COUVERT AS A VPI MODULE


En plus d'utiliser la commande de score de Covered pour analyser un fichier VCD, LXT2 ou FST afin d'en extraire
informations de couverture, Covered peut également être utilisé comme module VPI dans un simulateur pour
extraire ces informations. Les avantages d'utiliser Covered comme VPI par rapport à un lecteur de fichier de vidage
inclure les éléments suivants. Tout d'abord, les fichiers VCD peuvent être extrêmement volumineux, surtout pendant de longues périodes.
simulations, en utilisant un espace disque précieux. Deuxièmement, si vous utilisez un simulateur qui
vide les fichiers dans un format différent de VCD, LXT2 ou FST et vous souhaitez convertir ces dump
types de fichiers à l'une de ces versions, le coût de l'espace disque et du temps peut rendre la création
dumpfiles que Covered nécessite indésirables. De plus, bien que l'utilisation de Covered comme VPI
module ralentira votre vitesse de simulation, il est fort probable que le temps total passé
simuler votre conception et noter la conception en une seule étape sera plus courte que de le faire dans
deux étapes. En conséquence, l'utilitaire de configuration de Covered peut générer des bibliothèques prêtes pour VPI pour
les simulateurs gratuits et commerciaux suivants (Icarus Verilog, CVER et VCS).

Pour créer automatiquement les fichiers de bibliothèque prêts pour VPI lors de la génération de Couvert à partir de la source,
spécifiez simplement un ou plusieurs des éléments suivants lors de l'exécution de l'utilitaire « configure » ​​dans le
base Répertoire couvert : --with-iv= , --with-vcs=
chemin>, --with-cver= . Une fois que Couvert a été configuré, tapez simplement
'make' et 'make install'. Cela installera les fichiers de bibliothèque prêts pour VPI dans le
répertoire d'installation libexec (par défaut ce chemin sera /usr/local/libexec).

Avant d'être prêt à compiler la conception, vous devez d'abord créer un fichier CDD, un
Verilog et un fichier de table PLI (le dernier fichier n'est nécessaire que pour le compilateur VCS).
Cela se fait en spécifiant le -vpi (nom de fichier) option à la commande de score de Covered. Sinon
le nom du fichier est spécifié après -vpi, les fichiers couverts_vpi.v et couverts_vpi.ta b seront
créé avec le fichier de couverture généré. Notez que cette étape doit seulement être
effectuée une fois, sauf si les fichiers de conception changent. Vous êtes maintenant prêt à compiler le
simulateur.

Si vous compilez une simulation Icarus Verilog, ajoutez simplement '-m
/usr/local/libexec/covered.vpicovered_vpi.v' à la ligne de commande 'iverilog'. Une fois que
la compilation est terminée, exécutez le fichier exécutable généré comme vous le feriez normalement.

Si vous compilez une simulation CVER, ajoutez simplement
'+loadvpi=/usr/local/libexec/covered.cver.so:vpi_compat_bootstrapcovered_vpi.v' au
ligne de commande « cver ».

Si vous compilez une simulation VCS, ajoutez simplement '+vpi -load
/usr/local/libexec/covered.vcs.so:covered_registercovered_vpi.v' vers le 'vcs'
ligne de commande. Une fois la compilation terminée, exécutez le fichier exécutable généré pendant que vous
normalement.

Si vous compilez une simulation NC-Verilog, passez à la commande irun de NC-Verilog pour charger
l'objet partagé couvert : '-loadvpi /usr/local/libexec/covered.ncv.so:covered_register'
et activez tous les accès avec '-access +rwc'. Vous pouvez coder en dur l'appel $covered_sim dans
votre RTL ou vous pouvez l'exécuter dynamiquement à l'aide de la CLI, en ajoutant le -input input.tcl
passer à irun. Où le fichier input.tcl ressemble à ce qui suit et tb.dut est le
instance de couverture :
appelez -systf {$covered_sim} {"scored.cdd"} tb.dut
courir

Il y a deux plusargs qui peuvent être passés à l'exécutable généré lorsqu'il est exécuté qui
Couvert analysera. Le '+cover_cdd= ' fera que Covered affichera le
contenu de la conception noté dans le fichier CDD spécifié par . Cela permet plusieurs courses
du simulateur pour générer plusieurs fichiers CDD différents sans avoir besoin d'une recompilation pour
se produire. L'option '+covered_debug' entraînera Covered à vider beaucoup de sorties excessives
sur son état d'exécution interne pendant la simulation. Cette sortie ne sera générée que
si Couvert a été configuré avec l'option --enable-debug. Cette option plusarg ne doit pas
être utilisé par les utilisateurs réguliers car il est principalement destiné à aider les développeurs de Covered in
débogage.

AUTEURS


Trevor Williams[email protected]>

Utilisation couverte en ligne en utilisant les services onworks.net


Serveurs et postes de travail gratuits

Télécharger des applications Windows et Linux

Commandes Linux

Ad