AnglaisFrançaisEspagnol

Ad


Icône de favori OnWorks

gvhdl - En ligne dans le Cloud

Exécutez gvhdl dans le fournisseur d'hébergement gratuit OnWorks sur Ubuntu Online, Fedora Online, l'émulateur en ligne Windows ou l'émulateur en ligne MAC OS

Il s'agit de la commande gvhdl qui peut être exécutée dans le fournisseur d'hébergement gratuit OnWorks en utilisant l'un de nos multiples postes de travail en ligne gratuits tels que Ubuntu Online, Fedora Online, l'émulateur en ligne Windows ou l'émulateur en ligne MAC OS

PROGRAMME:

Nom


gvhdl - Frontend au compilateur/simulateur VHDL FreeHDL.

SYNOPSIS


gvhdl [OPTION] ... [VHDL_FILES] ... [OBJECT_FILES]...

DESCRIPTION


HDL gratuit est une suite de compilateurs/simulateurs pour le langage de description de matériel VHDL. VHDL'93
ainsi que les normes VHDL'87 sont prises en charge.

HDL gratuit traduit les fichiers source VHDL d'origine en C++. Ensuite, la source C++ peut être
compilé et lié au noyau pour construire le programme de simulation. Démarrage de la génération
exécutable simulera le modèle VHDL correspondant. Le processus de construction réel pour
générer le simulateur à partir de la source VHDL est un processus complexe qui est géré par le
gvhdl scripts.

VHDL_FILES est une liste de noms de fichiers source VHDL qui doivent se terminer par .vhdl ou .vhd. La première
Le nom du fichier VHDL détermine également le nom de l'exécutable du simulateur. C'est la finale
exécutable sera nommé d'après le premier fichier VHDL sans l'extension .vhdl ou .vhd.
Notez que les fichiers objets ainsi que le simulateur seront créés dans le
répertoire.

OBJECT_FILES spécifie une liste de fichiers objets liés à l'exécutable du simulateur.
gvhdl considère tous les fichiers qui se terminent par .o comme des fichiers objets. Typiquement, ces objets
les fichiers sont générés précédemment (à l'aide de l'option -c) à partir du code source VHDL lors d'un
étape de compilation.

OPTIONS


-L VHDLLIB
Chemin d'accès au répertoire racine de la bibliothèque VHDL. Dans ce répertoire, le compilateur recherche
un fichier nommé v2cc.libs. Le fichier de mappage v2cc.libs traduit les noms d'unités de bibliothèque
aux répertoires. Notez que plusieurs VHDLLIB peuvent être fournis.

-g Ajoute des informations de débogage à l'exécutable. Dans le détail, cette option associe le
code machine généré aux lignes correspondantes dans les fichiers source VHDL.

-G Ajoute des informations de débogage à l'exécutable mais n'associe pas le code machine à
lignes sources VHDL. Cette option est en fait utilisée pour déboguer le code C++ généré.

-c Ne générez pas d'exécutable du simulateur. En utilisant cette option, le compilateur a traduit
source VHDL en exécutables et les compile en code objet mais ne
générer un exécutable de simulateur final. Cette option est particulièrement utile pour compiler
paquets VHDL.

-l NOM LIB
Associez le code source VHDL à la bibliothèque VHDL LIBNAME. Par défaut le nom de la bibliothèque
TRAVAIL est utilisé. Cette option est particulièrement importante si les composants VHDL de plusieurs
Les bibliothèques VHDL doivent être intégrées au simulateur. Notez que pour
utiliser avec succès un composant VHDL d'un autre que la bibliothèque de travail actuelle,
les fichiers VHDL correspondants doivent être trouvés par le compilateur en utilisant les mécanismes
décrit dans v2cc.libs. De plus, les composants doivent être compilés avec les
-l option LIBNAME.

--visibilité-relâchée-des-composants
Autorise les liaisons par défaut invisibles de TRAVAIL.

--libieee
Ajoutez les fichiers de bibliothèque standard IEEE à l'exécutable de simulation.

ENVIRONNEMENT VARIABLES

V2CC_LIBRARY_PATH
La variable V2CC_LIBRARY_PATH se compose de noms de fichiers séparés par ":". En plus de
la variable d'environnement, vous pouvez utiliser l'option de ligne de commande "-L libdir" avec
v2cc. Les répertoires spécifiés avec "-L" sont ajoutés devant ceux spécifiés
par V2CC_LIBRARY_PATH. Dans le chemin final de la bibliothèque, ils apparaissent dans le même ordre que
sur la ligne de commande.

PRISE EN CHARGE Vhdl SOUS-ENSEMBLE


Actuellement, FreeHDL ne prend pas en charge l'intégralité de la norme VHDL'93. Ce qui suit incomplet
list donne un aperçu de ce qui n'est actuellement pas pris en charge :

- Les associations individuelles de formulaires de type composite ne sont pas prises en charge.

- Les variables partagées ne sont pas prises en charge.

- Les attributs transaction, calme, stable et différé ne sont pas pris en charge.

- Les attributs définis par l'utilisateur ne sont pas pris en charge.

- Les groupes ne sont pas pris en charge.

- Les affectations de signaux protégés ne sont pas prises en charge.

- Les configurations ne sont pas prises en charge.

- Actuellement, les pilotes ne peuvent pas être désactivés.

EXEMPLES


Utilisez

gvhdl -c additionneur.vhdl

pour construire un fichier objet pour adder.vhdl. Notez que adder.vhdl peut contenir plusieurs VHDL
.

additionneur gvhdl.vhdl

générera un simulateur pour le dernier Modèle VHDL trouvé dans adder.vhdl. Cependant, dans ce
cas, tous les composants VHDL de la zone requise pour construire le simulateur doivent être inclus dans
le fichier source VHDL.

gvhdl top.vhdl adder.o --libieee

génère un simulateur pour le dernier modèle VHDL trouvé dans top.vhdl en compilant tous les modèles dans
top.vhdl et la liaison (le fichier objet généré précédemment) adder.o et la norme IEEE
bibliothèques à l'exécutable.

gvhdl -c -l malib adder.vhdl

construira un fichier objet comprenant tous les composants fournis dans adder.vhdl. Cependant, dans
dans ce cas, les composants seront associés à la bibliothèque mylib au lieu de la valeur par défaut
travail de nom de bibliothèque. Notez que l'option -l n'affecte que le code source C++ généré mais
ne modifie pas l'endroit où les fichiers objets ou les exécutables sont stockés.

SIMULATION COMMANDES


Après le démarrage du simulateur, un bref résumé des commandes disponibles est imprimé
à l'écran :

c : exécuter des cycles = exécuter cycles de simulation
n : suivant = exécuter le prochain cycle de simulation
q : quitter = quitter la simulation
r : run = exécuter la simulation pour
d : vidage = vidage des signaux
doff : dump off = arrêter le vidage des signaux
don : dump on = continuer à vider les signaux
s : show = afficher les valeurs du signal
dv : dump var = dump un signal des listes de signaux
ds : dump show = affiche la liste des signaux dumpés
nds : number show = affiche le nombre de signaux transférés
dc [-f ] [-t ] [-cfg ] [-q]
: configure le processus de vidage

Notez que les signaux sont transférés dans un fichier (le nom de fichier par défaut est "wave.dmp") au format VCD.
Ce format de fichier doit être accepté par chaque visualiseur de forme d'onde VCD. Le nom du fichier est défini sur
"wave.dmp" mais peut être modifié en utilisant "dc -f ". Cependant, assurez-vous d'exécuter
"dc -f ..." avant d'exécuter "d".

SIMULATEUR COMMAND LINE OPTIONS


La simulation peut être contrôlée via le paramètre de ligne de commande '-cmd "cmd1; cmd2; ..."' où
'cmd1', 'cmd2', ... sont des commandes de simulation telles que décrites dans la section précédente. Noter
que chaque commande doit être séparée par ';'. Ex. : exécuter

./top -cmd "d;run 1000 ns;q;"

démarrera le programme de simulation 'top', videra tous les signaux et exécutera la simulation pendant 1000 ns.
Enfin, la simulation est terminée. En fait, la dernière commande 'q;' est facultatif car le
le simulateur se termine automatiquement dès que la dernière commande a été exécutée.

Utiliser gvhdl en ligne en utilisant les services onworks.net


Serveurs et postes de travail gratuits

Télécharger des applications Windows et Linux

Commandes Linux

Ad