рдЕрдВрдЧреНрд░реЗрдЬрд╝реАрдлреНрд░реЗрдВрдЪрд╕реНрдкреЗрдирд┐рд╢

Ad


рдСрдирд╡рд░реНрдХреНрд╕ рдлрд╝реЗрд╡рд┐рдХреЙрди

рдЗрд╡реЗрд░рд┐рд▓реЙрдЧ - рдХреНрд▓рд╛рдЙрдб рдореЗрдВ рдСрдирд▓рд╛рдЗрди

рдЙрдмрдВрдЯреВ рдСрдирд▓рд╛рдЗрди, рдлреЗрдбреЛрд░рд╛ рдСрдирд▓рд╛рдЗрди, рд╡рд┐рдВрдбреЛрдЬ рдСрдирд▓рд╛рдЗрди рдПрдореБрд▓реЗрдЯрд░ рдпрд╛ рдореИрдХ рдУрдПрд╕ рдСрдирд▓рд╛рдЗрди рдПрдореБрд▓реЗрдЯрд░ рдкрд░ рдСрдирд╡рд░реНрдХреНрд╕ рдореБрдлреНрдд рд╣реЛрд╕реНрдЯрд┐рдВрдЧ рдкреНрд░рджрд╛рддрд╛ рдореЗрдВ рдЖрдИрд╡реЗрд░рд┐рд▓реЙрдЧ рдЪрд▓рд╛рдПрдВ

рдпрд╣ рдХрдорд╛рдВрдб рдЗрд╡реЗрд░рд┐рд▓реЙрдЧ рд╣реИ рдЬрд┐рд╕реЗ рд╣рдорд╛рд░реЗ рдХрдИ рдореБрдлреНрдд рдСрдирд▓рд╛рдЗрди рд╡рд░реНрдХрд╕реНрдЯреЗрд╢рди рдЬреИрд╕реЗ рдХрд┐ рдЙрдмрдВрдЯреВ рдСрдирд▓рд╛рдЗрди, рдлреЗрдбреЛрд░рд╛ рдСрдирд▓рд╛рдЗрди, рд╡рд┐рдВрдбреЛрдЬ рдСрдирд▓рд╛рдЗрди рдПрдореБрд▓реЗрдЯрд░ рдпрд╛ рдореИрдХ рдУрдПрд╕ рдСрдирд▓рд╛рдЗрди рдПрдореБрд▓реЗрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдСрдирд╡рд░реНрдХреНрд╕ рдлреНрд░реА рд╣реЛрд╕реНрдЯрд┐рдВрдЧ рдкреНрд░рджрд╛рддрд╛ рдореЗрдВ рдЪрд▓рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдХрд╛рд░реНрдпрдХреНрд░рдо:

рдирд╛рдо


рдЗрд╡реЗрд░рд┐рд▓реЙрдЧ - рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрдВрдкрд╛рдЗрд▓рд░

SYNOPSIS


рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ [-рдИрдПрд╕рд╡реАрд╡реА] [-рдмреАрдкрд╛рде] [-рд╕реАрд╕реАрдПрдордбреАрдлрд╛рдЗрд▓|-рдПрдлрд╕реАрдПрдордбреАрдлрд╛рдЗрд▓] [-рдбреАрдПрдордПрдХреНрд░реЛ[= рдбреАрдИрдПрдлрд╝рдПрди]] [-рдкреАрдлрд╝реНрд▓реИрдЧ=рдорд╛рди] [-рдбреАрдирд╛рдо]
[-g1995|-g2001|-g2005|-g ] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-рдЯрдорд┐рди/рдЯрд╛рдЗрдк/рдореИрдХреНрд╕] [-Wclass] [-ypath] рд╕реЛрд░реНрд╕рдлрд╛рдЗрд▓

рд╡рд░реНрдгрди


рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ рдПрдХ рдХрдВрдкрд╛рдЗрд▓рд░ рд╣реИ рдЬреЛ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдХреЛрдб рдХреЛ рдирд┐рд╖реНрдкрд╛рджрди рдпреЛрдЧреНрдп рдкреНрд░реЛрдЧреНрд░рд╛рдо рдореЗрдВ рдЕрдиреБрд╡рд╛рджрд┐рдд рдХрд░рддрд╛ рд╣реИ
рдЖрдЧреЗ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЗ рд▓рд┐рдП рд╕рд┐рдореБрд▓реЗрд╢рди, рдпрд╛ рдЕрдиреНрдп рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рдкреНрд░рд╛рд░реВрдкред рд╡рд░реНрддрдорд╛рди рдореЗрдВ рд╕рдорд░реНрдерд┐рдд
рд▓рдХреНрд╖реНрдп рд╣реИрдВ VVP рдЕрдиреБрдХрд░рдг рдХреЗ рд▓рд┐рдП, рдФрд░ FPGA рд╕рдВрд╢реНрд▓реЗрд╖рдг рдХреЗ рд▓рд┐рдП. рдЕрдиреНрдп рд▓рдХреНрд╖реНрдп рдкреНрд░рдХрд╛рд░ рдЗрд╕ рдкреНрд░рдХрд╛рд░ рдЬреЛрдбрд╝реЗ рдЬрд╛рддреЗ рд╣реИрдВ
рдХреЛрдб рдЬрдирд░реЗрдЯрд░ рд▓рд╛рдЧреВ рдХрд┐рдП рдЧрдП рд╣реИрдВред

рд╡рд┐рдХрд▓реНрдк


рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╡рд┐рдХрд▓реНрдкреЛрдВ рдХреЛ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рддрд╛ рд╣реИ:

-BрдЖрдзрд╛рд░ RSI рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд╛рд╣рд░реА рдкреНрд░реЛрдЧреНрд░рд╛рдо рдФрд░ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдлрд╝рд╛рдЗрд▓реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ
рдФрд░ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рд╕рдВрдХрд▓рд┐рдд рдХрд░реЗрдВред рдЖрдо рддреМрд░ рдкрд░, рдЗрди рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдкрддрд╛ рд▓рдЧрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рдиреЗ рд╡рд╛рд▓рд╛ рдкрде рд╣реИ
рдореЗрдВ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ рдХрд╛рд░реНрдпрдХреНрд░рдо. рд╣рд╛рд▓рд╛рдВрдХрд┐ -B рд╕реНрд╡рд┐рдЪ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХреЛ рдЪрдпрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ
рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдХрд╛ рдПрдХ рдЕрд▓рдЧ рд╕реЗрдЯ. рджрд┐рдП рдЧрдП рдкрде рдХрд╛ рдЙрдкрдпреЛрдЧ рдкрддрд╛ рд▓рдЧрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЖрдИрд╡реАрдПрд▓рдкреАрдкреА, IVL, рдХреЛрдб
рдЬрдирд░реЗрдЯрд░ рдФрд░ рд╡реАрдкреАрдЖрдИ рдореЙрдбреНрдпреВрд▓ред

-cрдкрдЯреНрдЯрд┐рдХрд╛ -fрдкрдЯреНрдЯрд┐рдХрд╛
рдпреЗ рдлрд╝реНрд▓реИрдЧ рдПрдХ рдЗрдирдкреБрдЯ рдлрд╝рд╛рдЗрд▓ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рддреЗ рд╣реИрдВ рдЬрд┐рд╕рдореЗрдВ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреА рдПрдХ рд╕реВрдЪреА рд╣реЛрддреА рд╣реИред
рдпрд╣ рд╕рдорд╛рди рд╣реИ рдЖрджреЗрд╢ рдкрдЯреНрдЯрд┐рдХрд╛ рдЕрдиреНрдп рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕рд┐рдореБрд▓реЗрдЯрд░ рдореЗрдВ, рдпрд╣ рдПрдХ рд╣реИ
рдлрд╝рд╛рдЗрд▓ рдЬрд┐рд╕рдореЗрдВ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рд╣реИрдВ рдЙрдиреНрд╣реЗрдВ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдкрд░ рд▓реЗрдиреЗ рдХреЗ рдмрдЬрд╛рдпред рджреЗрдЦрдирд╛
рдЖрджреЗрд╢ рдлрд╝рд╛рдЗрд▓реЗрдВ рдиреАрдЪреЗ.

-DрдореИрдХреНрд░реЛ рдореИрдХреНрд░реЛ рдХреЛ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░рддрд╛ рд╣реИ рдореИрдХреНрд░реЛ рдЗрд╕рдХреА рдкрд░рд┐рднрд╛рд╖рд╛ рдХреЗ рд░реВрдк рдореЗрдВ рд╕реНрдЯреНрд░рд┐рдВрдЧ `1' рдХреЗ рд╕рд╛рдеред рдпрд╣ рдлреЙрд░реНрдо рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рд╣реИ
рдХреЗрд╡рд▓ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдореЗрдВ ifdef рд╕рд╢рд░реНрдд рдЯреНрд░рд┐рдЧрд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

-DрдореИрдХреНрд░реЛ = defn
рдореИрдХреНрд░реЛ рдХреЛ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░рддрд╛ рд╣реИ рдореИрдХреНрд░реЛ as рд░рдХреНрд╖рд╛.

-dрдирд╛рдо рдХрдВрдкрд╛рдЗрд▓рд░ рдбрд┐рдмрдЧрд┐рдВрдЧ рд╕рдВрджреЗрд╢реЛрдВ рдХреА рдПрдХ рд╢реНрд░реЗрдгреА рд╕рдХреНрд░рд┐рдп рдХрд░реЗрдВред -d рд╕реНрд╡рд┐рдЪ рдХреЗ рд░реВрдк рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ
рд╕рднреА рд╡рд╛рдВрдЫрд┐рдд рд╕рдВрджреЗрд╢реЛрдВ рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдХреНрд╕рд░ рдЖрд╡рд╢реНрдпрдХ рд╣реЛрддрд╛ рд╣реИред рд╕рдорд░реНрдерд┐рдд рдирд╛рдо рд╣реИрдВ
рд╕реНрдХреЛрдк, eval_tree, рд╡рд┐рд╕реНрддреГрдд, рдФрд░ synth2; рдХрд┐рд╕реА рднреА рдЕрдиреНрдп рдирд╛рдо рдХреЛ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

-E рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдХреЛ рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕ рдХрд░реЗрдВ, рд▓реЗрдХрд┐рди рдЗрд╕реЗ рд╕рдВрдХрд▓рд┐рдд рди рдХрд░реЗрдВред рдЖрдЙрдЯрдкреБрдЯ рдлрд╝рд╛рдЗрд▓ рд╣реИ
рд╡реЗрд░рд┐рд▓реЙрдЧ рдЗрдирдкреБрдЯ, рд▓реЗрдХрд┐рди рдлрд╝рд╛рдЗрд▓ рд╕рдорд╛рд╡реЗрд╢рди рдФрд░ рдореИрдХреНрд░реЛ рд╕рдВрджрд░реНрднреЛрдВ рдХреЗ рд╕рд╛рде рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдФрд░ рд╣рдЯрд╛ рджрд┐рдпрд╛ рдЧрдпрд╛ред
рдпрд╣ рдЙрдкрдпреЛрдЧреА рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЕрдиреНрдп рд▓реЛрдЧреЛрдВ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдХреЛ рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП
рд╕рдВрдХрд▓рдХред

-рдЬреА1995|-рдЬреА2001|-g2001-noconfig|-рдЬреА2005
рд╡реЗрд░рд┐рд▓реЙрдЧ рднрд╛рд╖рд╛ рдХрд╛ рдЪрдпрди рдХрд░реЗрдВ рдкреАрдврд╝реА рдХрдВрдкрд╛рдЗрд▓рд░ рдореЗрдВ рд╕рдорд░реНрдерди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП. рдпрд╣ рдЪрдпрди рдХрд░рддрд╛ рд╣реИ
рдХреЗ рдмреАрдЪ рдЖрдИрдИрдИрдИ1364-1995, рдЖрдИрдИрдИрдИ1364-2001рдпрд╛, рдЖрдИрдИрдИрдИ1364-2005. рдЖрдо рддреМрд░ рдкрд░, рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ
рднрд╛рд╖рд╛ рдХреА рдирд╡реАрдирддрдо рдЬреНрдЮрд╛рдд рдкреАрдврд╝реА рдХреЗ рд▓рд┐рдП рдбрд┐рдлрд╝реЙрд▓реНрдЯред рдпрд╣ рдЭрдВрдбрд╛ рд╕рдмрд╕реЗ рдЙрдкрдпреЛрдЧреА рд╣реИ
рднрд╛рд╖рд╛ рдХреЛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреАрдврд╝рд┐рдпреЛрдВ рдХреЗ рдЙрдкрдХрд░рдгреЛрдВ рджреНрд╡рд╛рд░рд╛ рд╕рдорд░реНрдерд┐рдд рд╕реЗрдЯ рддрдХ рд╕реАрдорд┐рдд рдХрд░рдирд╛
рдЕрдиреНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рдЕрдиреБрдХреВрд▓рддрд╛.

-gverilog-ams|-gno-verilog-ams
рд╡реЗрд░рд┐рд▓реЙрдЧ-рдПрдПрдордПрд╕ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдерди рд╕рдХреНрд╖рдо рдпрд╛ рдЕрдХреНрд╖рдо (рдбрд┐рдлрд╝реЙрд▓реНрдЯ) рдХрд░реЗрдВред рдмрд╣реБрдд рдХрдо рд╡реЗрд░рд┐рд▓реЙрдЧ-рдПрдПрдордПрд╕
рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рд╡рд░реНрддрдорд╛рди рдореЗрдВ рд╕рдорд░реНрдерд┐рдд рд╣реИ.

-gspecify|-gno-рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░реЗрдВ
рд╕рдХреНрд╖рдо рдпрд╛ рдЕрдХреНрд╖рдо рдХрд░реЗрдВ (рдбрд┐рдлрд╝реЙрд▓реНрдЯ) рдмреНрд▓реЙрдХ рд╕рдорд░реНрдерди рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░реЗрдВред рд╕рдХреНрд╖рдо рд╣реЛрдиреЗ рдкрд░, рдмреНрд▓реЙрдХ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░реЗрдВ
рдХреЛрдб рд╡рд┐рд╕реНрддреГрдд рд╣реИ. рдЕрдХреНрд╖рдо рд╣реЛрдиреЗ рдкрд░, рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдмреНрд▓реЙрдХреЛрдВ рдХреЛ рдкрд╛рд░реНрд╕ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рд▓реЗрдХрд┐рди рдЕрдирджреЗрдЦрд╛ рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЙрд▓реНрд▓рд┐рдЦрд┐рдд рдХрд░рдирд╛
рдЖрд░рдЯреАрдПрд▓ рд╕рд┐рдореБрд▓реЗрд╢рди рдХреЗ рд▓рд┐рдП рдЖрдорддреМрд░ рдкрд░ рдмреНрд▓реЙрдХ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реЛрддреА рд╣реИ, рдФрд░ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдпрд╣ рдиреБрдХрд╕рд╛рди рдкрд╣реБрдВрдЪрд╛ рд╕рдХрддрд╛ рд╣реИ
рдЕрдиреБрдХрд░рдг рдХрд╛ рдкреНрд░рджрд░реНрд╢рди. рд╣рд╛рд▓рд╛рдБрдХрд┐, рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдмреНрд▓реЙрдХ рдХреЛ рдЕрдХреНрд╖рдо рдХрд░рдиреЗ рд╕реЗ рд╕рдЯреАрдХрддрд╛ рдХрдо рд╣реЛ рдЬрд╛рддреА рд╣реИ
рдкреВрд░реНрдгрдХрд╛рд▓рд┐рдХ рд╕рд┐рдореБрд▓реЗрд╢рди рдХрд╛ред

-рдЬреАрдПрд╕рдЯреАрдбреА-рд╢рд╛рдорд┐рд▓|-рдЧреНрдиреЛ-рдПрд╕рдЯреАрдбреА-рд╢рд╛рдорд┐рд▓
рдорд╛рдирдХ рдЗрдВрд╕реНрдЯреЙрд▓реЗрд╢рди рдХреА рдЦреЛрдЬ рдХреЛ рд╕рдХреНрд╖рдо (рдбрд┐рдлрд╝реЙрд▓реНрдЯ) рдпрд╛ рдЕрдХреНрд╖рдо рдХрд░реЗрдВ
рдЕрдиреНрдп рд╕рднреА рд╕реНрдкрд╖реНрдЯ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рдмрд╛рдж рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ред рдЗрд╕ рдорд╛рдирдХ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ
рд╡реЗрд░рд┐рд▓реЙрдЧ рдорд╛рдирдХ рд╣реЗрдбрд░ рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЛ рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдПрдХ рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд╕реНрдерд╛рди рд╣реИ
рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ.

-рдЧреНрд░реЗрд▓реЗрдЯрд┐рд╡-рд╢рд╛рдорд┐рд▓|-рдЬреНрдЮрд╛рди-рд╕рд╛рдкреЗрдХреНрд╖-рд╢рд╛рдорд┐рд▓
рдЖрд░рдВрдн рдореЗрдВ рд╕реНрдерд╛рдиреАрдп рдлрд╝рд╛рдЗрд▓реЗрдВ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдЬреЛрдбрд╝рдиреЗ рдХреЛ рд╕рдХреНрд╖рдо рдпрд╛ рдЕрдХреНрд╖рдо рдХрд░реЗрдВ (рдбрд┐рдлрд╝реЙрд▓реНрдЯ)ред
рдлрд╝рд╛рдЗрд▓ рдЦреЛрдЬ рдкрде рд╢рд╛рдорд┐рд▓ рдХрд░реЗрдВред рдпрд╣ рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЛ рдХреЗ рд╕рд╛рдкреЗрдХреНрд╖ рд╢рд╛рдорд┐рд▓ рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ
рд╡рд░реНрддрдорд╛рди рдлрд╝рд╛рдЗрд▓ рдЕрдзрд┐рдХ рд╕рд╛рдорд╛рдиреНрдп рдлрд╝рд╛рдЗрд▓реЗрдВ рдирд╣реАрдВ рд╣реИрдВ рдЬреЛ рдХреЗрд╡рд▓ рдХрд╛рд░реНрдпрд╢реАрд▓ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВ рдкрд╛рдИ рдЬрд╛рддреА рд╣реИрдВ
рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдореЗрдВ рдлрд╝рд╛рдЗрд▓ рдЦреЛрдЬ рдкрде рд╢рд╛рдорд┐рд▓ рдХрд░реЗрдВред

-gxtypes|-gno-xtypes
рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдкреНрд░рдХрд╛рд░реЛрдВ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдерди рд╕рдХреНрд╖рдо (рдбрд┐рдлрд╝реЙрд▓реНрдЯ) рдпрд╛ рдЕрдХреНрд╖рдо рдХрд░реЗрдВред рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдкреНрд░рдХрд╛рд░ рд╕рдХреНрд╖рдо рдХрд░рдирд╛
рдирдП рдкреНрд░рдХрд╛рд░реЛрдВ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ рдЬреЛ рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рджреНрд╡рд╛рд░рд╛ рдкрд░реЗ рдПрдХреНрд╕рдЯреЗрдВрд╢рди рдХреЗ рд░реВрдк рдореЗрдВ рд╕рдорд░реНрдерд┐рдд рд╣реИрдВ
рдмреЗрд╕рд▓рд╛рдЗрди рд╡реЗрд░рд┐рд▓реЙрдЧред рдХреЛрдб рд╕рдВрдХрд▓рд┐рдд рдХрд░рддреЗ рд╕рдордп рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдкреНрд░рдХрд╛рд░реЛрдВ рдХреЛ рдЕрдХреНрд╖рдо рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ
рдЬреЛ рдЯрд╛рдЗрдк рд╕рд┐рд╕реНрдЯрдо рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЧрдП рдХреБрдЫ рдирдП рдХреАрд╡рд░реНрдб рд╕реЗ рдЯрдХрд░рд╛рддрд╛ рд╣реИред

-рдЬрд┐рдпреЛ-рд░реЗрдВрдЬ-рддреНрд░реБрдЯрд┐|-gno-io-рд╢реНрд░реЗрдгреА-рддреНрд░реБрдЯрд┐
рдорд╛рдирдХреЛрдВ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХрд┐ рдПрдХ рд╡реЗрдХреНрдЯрд░реНрдб рдкреЛрд░реНрдЯ рдореЗрдВ рдЙрд╕рдХреЗ рдкреЛрд░реНрдЯ рдХреЗ рд▓рд┐рдП рдореЗрд▓ рдЦрд╛рдиреЗ рд╡рд╛рд▓реА рд╢реНрд░реЗрдгрд┐рдпрд╛рдБ рд╣реЛрдВ
рдШреЛрд╖рдгрд╛ рдХреЗ рд╕рд╛рде-рд╕рд╛рде рдХреЛрдИ рднреА рдиреЗрдЯ/рд░рдЬрд┐рд╕реНрдЯрд░ рдШреЛрд╖рдгрд╛ред рдореЗрдВ рдпрд╣ рдЖрдо рдмрд╛рдд рдереА
рдХреЗрд╡рд▓ рдиреЗрдЯ/рд░рдЬрд┐рд╕реНрдЯрд░ рдШреЛрд╖рдгрд╛ рдФрд░ рдХреБрдЫ рдЯреВрд▓ рдХреЗ рд▓рд┐рдП рд╕реАрдорд╛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ
рдЕрднреА рднреА рдЗрд╕рдХреА рдЕрдиреБрдорддрд┐ рджреЗрдВ. рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ рдХрд┐рд╕реА рднреА рдмреЗрдореЗрд▓ рдХреЛ рддреНрд░реБрдЯрд┐ рдХреЗ рд░реВрдк рдореЗрдВ рд░рд┐рдкреЛрд░реНрдЯ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП
-gno-io-рд╢реНрд░реЗрдгреА-рддреНрд░реБрдЯрд┐ рдорд╛рдорд▓реЗ рдХреЗ рд▓рд┐рдП рдШрд╛рддрдХ рддреНрд░реБрдЯрд┐ рдХреЗ рдмрдЬрд╛рдп рдПрдХ рдЪреЗрддрд╛рд╡рдиреА рдЙрддреНрдкрдиреНрди рдХрд░реЗрдЧрд╛
рдПрдХ рд╡реЗрдХреНрдЯрд░реНрдб рдиреЗрдЯ/рд░рдЬрд┐рд╕реНрдЯрд░ рдФрд░ рдПрдХ рд╕реНрдХреЗрд▓рд░ рдкреЛрд░реНрдЯ рдШреЛрд╖рдгрд╛ рдХреАред

-gstrict-ca-eval|-gno-strict-ca-eval
рдорд╛рдирдХ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХрд┐ рдпрджрд┐ рдХрд┐рд╕реА рд╕рддрдд рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯ рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдореЗрдВ рдХреЛрдИ рдЗрдирдкреБрдЯ рд╣реЛ
рдорд╛рди рдмрджрд▓рддрд╛ рд╣реИ, рд╕рдВрдкреВрд░реНрдг рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХрд╛ рдкреБрдирд░реНрдореВрд▓реНрдпрд╛рдВрдХрди рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, рдХреЗ рдХреБрдЫ рднрд╛рдЧ
рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдЬреЛ рдмрджрд▓реЗ рд╣реБрдП рдЗрдирдкреБрдЯ рдорд╛рди рдкрд░ рдирд┐рд░реНрднрд░ рдирд╣реАрдВ рд╣реИ, рдЙрд╕рдХрд╛ рдкреБрдирд░реНрдореВрд▓реНрдпрд╛рдВрдХрди рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред
рдпрджрд┐ рдХрд┐рд╕реА рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдореЗрдВ рдХрд┐рд╕реА рдлрд╝рдВрдХреНрд╢рди рдХреЗ рд▓рд┐рдП рдХреЙрд▓ рд╢рд╛рдорд┐рд▓ рд╣реИ рдЬреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЙрд╕ рдкрд░ рдирд┐рд░реНрднрд░ рдирд╣реАрдВ рд╣реИ
рдЗрдирдкреБрдЯ рдорд╛рди рдпрд╛ рдЬрд┐рд╕рдХреЗ рджреБрд╖реНрдкреНрд░рднрд╛рд╡ рд╣реЛрдВ, рдкрд░рд┐рдгрд╛рдореА рд╡реНрдпрд╡рд╣рд╛рд░ рднрд┐рдиреНрди рд╣реЛрдЧрд╛
рдЬреЛ рдорд╛рдирдХ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП -gstrict-ca-eval рдорд╛рдирдХ рдХреЛ рдмрд╛рдзреНрдп рдХрд░реЗрдЧрд╛
рдЖрдЬреНрдЮрд╛рдХрд╛рд░реА рд╡реНрдпрд╡рд╣рд╛рд░ (рдкреНрд░рджрд░реНрд╢рди рдореЗрдВ рдХреБрдЫ рд╣рд╛рдирд┐ рдХреЗ рд╕рд╛рде)ред

-Iрд╢рд╛рдорд┐рд▓
рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдЬреЛрдбрд╝реЗрдВ рд╢рд╛рдорд┐рд▓ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЗ рд▓рд┐рдП рдЦреЛрдЬреА рдЧрдИ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреА рд╕реВрдЪреА рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ
рдлрд╝рд╛рдЗрд▓реЗрдВред -I рдХрдИ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрдИ рдмрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ
рдЦреЛрдЬ, рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЛ рдЙрд╕реА рдХреНрд░рдо рдореЗрдВ рдЦреЛрдЬрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬрд┐рд╕ рдХреНрд░рдо рдореЗрдВ рд╡реЗ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдкрд░ рджрд┐рдЦрд╛рдИ рджреЗрддреА рд╣реИрдВред

-Mрдкрде рдкрде рджреНрд╡рд╛рд░рд╛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рдпреЛрдЧрджрд╛рди рджреЗрдиреЗ рд╡рд╛рд▓реА рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреА рдПрдХ рд╕реВрдЪреА рд▓рд┐рдЦреЗрдВ
рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд╛ рд╕рдВрдХрд▓рди. рдЗрд╕рдореЗрдВ рд╡реЗ рдлрд╝рд╛рдЗрд▓реЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ рдЬрд┐рдиреНрд╣реЗрдВ рд╢рд╛рдорд┐рд▓ рдХрд░рдХреЗ рд╢рд╛рдорд┐рд▓ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ
рдирд┐рд░реНрджреЗрд╢ рдФрд░ рдлрд╝рд╛рдЗрд▓реЗрдВ рдЬреЛ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рд╕рдорд░реНрдерди рджреНрд╡рд╛рд░рд╛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рд▓реЛрдб рдХреА рдЬрд╛рддреА рд╣реИрдВред рдЙрддреНрдкрд╛рджрди
рдкреНрд░рддрд┐ рдкрдВрдХреНрддрд┐ рдПрдХ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рдХреЛрдИ рдЕрдЧреНрд░рдгреА рдпрд╛ рдЕрдиреБрдЧрд╛рдореА рд╕реНрдерд╛рди рдирд╣реАрдВ рд╣реИред

-mрдореЙрдбреНрдпреВрд▓
рдЗрд╕ рдореЙрдбреНрдпреВрд▓ рдХреЛ рд╕рд┐рдореБрд▓реЗрд╢рди рджреНрд╡рд╛рд░рд╛ рд▓реЛрдб рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рд╡реАрдкреАрдЖрдИ рдореЙрдбреНрдпреВрд▓ рдХреА рд╕реВрдЪреА рдореЗрдВ рдЬреЛрдбрд╝реЗрдВред рдЕрдиреЗрдХ
рдореЙрдбреНрдпреВрд▓ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд┐рдП рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВ, рдФрд░ рд╕рднреА рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХреНрд░рдо рдореЗрдВ рд▓реЛрдб рдХрд┐рдП рдЬрд╛рдПрдВрдЧреЗред
рд╕рд┐рд╕реНрдЯрдо рдореЙрдбреНрдпреВрд▓ рдирд┐рд╣рд┐рдд рд╣реИ рдФрд░ рд╣рдореЗрд╢рд╛ рд╢рд╛рдорд┐рд▓ рд╣реИред рдпрджрд┐ рдПрдХ рд╕рд┐рд╕реНрдЯрдо рдлрд╝рдВрдХреНрд╢рди рдЯреЗрдмрд▓ рдлрд╝рд╛рдЗрд▓
( .sft) рдореЙрдбреНрдпреВрд▓ рдХреЗ рд▓рд┐рдП рдореМрдЬреВрдж рд╣реИ, рдпрд╣ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рд▓реЛрдб рд╣реЛ рдЬрд╛рдПрдЧрд╛ред

-Nрдкрде рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЛ рдЙрдЪрд┐рдд рд░реВрдк рд╕реЗ рдбреАрдмрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдХрд╛ рдЕрдВрддрд┐рдо рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рдлреЙрд░реНрдо рдбрдВрдк рдХрд░реЗрдВ
рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдлрд╝рд╛рдЗрд▓ рдХреЗ рд▓рд┐рдП рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд░реЗрдВред рдЕрдиреНрдпрдерд╛ рдпрд╣ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХреЛ рдкреНрд░рднрд╛рд╡рд┐рдд рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ
рд╕рдВрдХрд▓рдХ. рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЗ рд╡рд┐рд╕реНрддреГрдд рдФрд░ рдЕрдиреБрдХреВрд▓рд┐рдд рд╣реЛрдиреЗ рдХреЗ рдмрд╛рдж рдбрдВрдк рд╣реЛрддрд╛ рд╣реИред

-o рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдирд╛рдо
рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рд░рдЦреЗрдВ рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдирд╛рдо. рдпрджрд┐ рдХреЛрдИ рдЖрдЙрдЯрдкреБрдЯ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдирд╣реАрдВ рд╣реИ, рдЗрд╡реЗрд░рд┐рд▓реЛрдЧ
рдбрд┐рдлрд╝реЙрд▓реНрдЯ рдирд╛рдо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ рдП.рдЖрдЙрдЯ.

-pрдЭрдВрдбрд╛=рдореВрд▓реНрдп
рд▓рдХреНрд╖реНрдп рд╡рд┐рд╢рд┐рд╖реНрдЯ рдзреНрд╡рдЬ рдХреЗ рд▓рд┐рдП рдПрдХ рдорд╛рди рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░реЗрдВред -p рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдЬрд┐рддрдиреА рдмрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ
рд╕рднреА рд╡рд╛рдВрдЫрд┐рдд рдЭрдВрдбреЛрдВ рдХреЛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдЭрдВрдбреЗ рдЗрд╕ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреЗ рд╣реИрдВ
рд▓рдХреНрд╖реНрдп рдЬреЛ рдЪрдпрдирд┐рдд рд╣реИ, рдФрд░ рд▓рдХреНрд╖реНрдп рд╡рд┐рд╢рд┐рд╖реНрдЯ рджрд╕реНрддрд╛рд╡реЗрдЬрд╝реАрдХрд░рдг рдореЗрдВ рд╡рд░реНрдгрд┐рдд рд╣реИред рдЭрдВрдбреЗ
рдЬрд┐рдирдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рдЙрдиреНрд╣реЗрдВ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

-S рд╕рдВрд╢реНрд▓реЗрд╖рдг рдХрд░реЗрдВред рдЖрдо рддреМрд░ рдкрд░, рдпрджрд┐ рд▓рдХреНрд╖реНрдп рд╡реНрдпрд╡рд╣рд╛рд░ рд╕рдВрдмрдВрдзреА рд╡рд┐рд╡рд░рдг рд╕реНрд╡реАрдХрд╛рд░ рдХрд░ рд╕рдХрддрд╛ рд╣реИ
рдХрдВрдкрд╛рдЗрд▓рд░ рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рд╡реНрдпрд╡рд╣рд╛рд░рд┐рдХ рд░реВрдк рдореЗрдВ рдЫреЛрдбрд╝ рджреЗрдЧрд╛ред -S рд╕реНрд╡рд┐рдЪ рдХрд╛ рдХрд╛рд░рдг рдмрдирддрд╛ рд╣реИ
рд╕рдВрд╢реНрд▓реЗрд╖рдг рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рдВрдХрд▓рдХ, рднрд▓реЗ рд╣реА рдпрд╣ рд▓рдХреНрд╖реНрдп рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рди рд╣реЛред рдпрджрд┐
рд▓рдХреНрд╖реНрдп рдкреНрд░рдХрд╛рд░ рдПрдХ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рдкреНрд░рд╛рд░реВрдк рд╣реИ -S рд╕реНрд╡рд┐рдЪ рдЕрдирд╛рд╡рд╢реНрдпрдХ рд╣реИ рдФрд░ рдЗрд╕рдХрд╛ рдХреЛрдИ рдкреНрд░рднрд╛рд╡ рдирд╣реАрдВ рд╣реИред

-s рдЯреЙрдкрдореЙрдбреНрдпреВрд▓
рд╡рд┐рд╕реНрддреГрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╢реАрд░реНрд╖ рд╕реНрддрд░реАрдп рдореЙрдбреНрдпреВрд▓ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░реЗрдВред рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЛрдЧ рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ рдЪрдпрди рдХрд░реЗрдЧрд╛
рдРрд╕реЗ рдореЙрдбреНрдпреВрд▓ рдЬрд┐рдиреНрд╣реЗрдВ рдХрд┐рд╕реА рдЕрдиреНрдп рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рдЗрдВрд╕реНрдЯреЗрдВрдЯрд┐рдЕрдЯ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХрднреА-рдХрднреА рдРрд╕рд╛ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ
рдкрд░реНрдпрд╛рдкреНрдд, рдпрд╛ рдмрд╣реБрдд рд╕рд╛рд░реЗ рдореЙрдбреНрдпреВрд▓ рдЗрдВрд╕реНрдЯреЗрдВрдЯрд┐рдпреЗрдЯ рдХрд░рддрд╛ рд╣реИред рдпрджрд┐ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдПрдХ рдпрд╛ рдЕрдзрд┐рдХ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рддрд╛ рд╣реИ
рд░реВрдЯ рдореЙрдбреНрдпреВрд▓ рдХреЗ рд╕рд╛рде -s рдЭрдВрдбреЗ, рддреЛ рдЙрдиреНрд╣реЗрдВ рдЗрд╕рдХреЗ рдмрдЬрд╛рдп рд░реВрдЯ рдореЙрдбреНрдпреВрд▓ рдХреЗ рд░реВрдк рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред

-TрдиреНрдпреВрдирддрдо|рдкреНрд░рдХрд╛рд░|рдЕрдзрд┐рдХрддрдо
рдиреНрдпреВрдирддрдо:рдЯрд╛рдЗрдк:рдЕрдзрд┐рдХрддрдо рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐рдпреЛрдВ рд╕реЗ рдиреНрдпреВрдирддрдо, рдЯрд╛рдЗрдк рдпрд╛ рдЕрдзрд┐рдХрддрдо рд╕рдордп рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрд╕ рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВред
рдЖрдо рддреМрд░ рдкрд░, рдХрдВрдкрд╛рдЗрд▓рд░ рдЗрди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐рдпреЛрдВ рд╕реЗ рдЯрд╛рдЗрдк рдорд╛рди рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдЧрд╛
(рдЗрд╕реЗ рдорд┐рд▓рдиреЗ рд╡рд╛рд▓реЗ рдкрд╣рд▓реЗ рджрд╕ рдХреЗ рд▓рд┐рдП рдПрдХ рдЪреЗрддрд╛рд╡рдиреА рдкреНрд░рд┐рдВрдЯ рдХрд░рдирд╛) рд▓реЗрдХрд┐рди рдпрд╣ рд╕реНрд╡рд┐рдЪ рдмрддрд╛рдПрдЧрд╛
рд╕рдВрдХрд▓рдХ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдХрд┐рд╕ рдореВрд▓реНрдп рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рд╣реИред рдпрд╣ рдЙрд╕ рдЪреЗрддрд╛рд╡рдиреА рдХреЛ рджрдмрд╛ рджреЗрдЧрд╛ рдХрд┐
рд╕рдВрдХрд▓рдХ рдПрдХ рд╡рд┐рдХрд▓реНрдк рдмрдирд╛ рд░рд╣рд╛ рд╣реИред

-tрд▓рдХреНрд╖реНрдп
рд▓рдХреНрд╖реНрдп рдЖрдЙрдЯрдкреБрдЯ рд╕реНрд╡рд░реВрдк рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрд╕ рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВред рджреЗрдЦреЗрдВ рд▓рдХреНрд╖реНрдп рдиреАрдЪреЗ рдЕрдиреБрднрд╛рдЧ
рд╡реИрдз рдЖрдЙрдЯрдкреБрдЯ рд╕реНрд╡рд░реВрдкреЛрдВ рдХреА рд╕реВрдЪреА рдХреЗ рд▓рд┐рдПред

-v рд╡рд░реНрдмреЛрдЬрд╝ рд╕рдВрджреЗрд╢ рдЪрд╛рд▓реВ рдХрд░реЗрдВ. рдпрд╣ рдЙрди рдХрдорд╛рдВрдб рд▓рд╛рдЗрдиреЛрдВ рдХреЛ рдкреНрд░рд┐рдВрдЯ рдХрд░реЗрдЧрд╛ рдЬрд┐рдиреНрд╣реЗрдВ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ
рд╡рд┐рднрд┐рдиреНрди рд╕рдВрд╕реНрдХрд░рдгреЛрдВ рдХреА рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рд╕рд╛рде-рд╕рд╛рде рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рдВрдХрд▓рди рдХрд░реЗрдВ
рдШрдЯрдХреЛрдВ рдХреЗ рд╕рд╛рде-рд╕рд╛рде рд╕рдордЧреНрд░ рд░реВрдк рд╕реЗ рдЙрддреНрдкрд╛рдж рдХрд╛ рд╕рдВрд╕реНрдХрд░рдг рднреАред рддреБрдореНрд╣реЗрдВ рдкрддрд╛ рдЪрд▓ рдЬрд╛рдПрдЧрд╛
рдХрд┐ рдХрдорд╛рдВрдб рд▓рд╛рдЗрдиреЛрдВ рдореЗрдВ рдкрд╛рд╕ рд╣реЛрдиреЗ рд╡рд╛рд▓реА рдХреБрдВрдЬреА рдЕрд╕реНрдерд╛рдпреА рдлрд╝рд╛рдЗрд▓ рдХрд╛ рд╕рдВрджрд░реНрдн рд╢рд╛рдорд┐рд▓ рд╣реЛрддрд╛ рд╣реИ
рд╕рдВрдХрд▓рдХ рдХреЛ рдЙрдЪрд┐рдд рдЬрд╛рдирдХрд╛рд░реАред рдЙрд╕ рдлрд╝рд╛рдЗрд▓ рдХреЛ рдбрд┐рд▓реАрдЯ рд╣реЛрдиреЗ рд╕реЗ рдмрдЪрд╛рдиреЗ рдХреЗ рд▓рд┐рдП
рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЗ рдЕрдВрдд рдореЗрдВ, рдкрд░реНрдпрд╛рд╡рд░рдг рдЪрд░ рдореЗрдВ рдЕрдкрдирд╛ рд╕реНрд╡рдпрдВ рдХрд╛ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдкреНрд░рджрд╛рди рдХрд░реЗрдВ
IVERILOG_ICONFIG.

-V рдХрдВрдкрд╛рдЗрд▓рд░ рдХрд╛ рд╕рдВрд╕реНрдХрд░рдг рдкреНрд░рд┐рдВрдЯ рдХрд░реЗрдВ, рдФрд░ рдмрд╛рд╣рд░ рдирд┐рдХрд▓реЗрдВред

-WрдХрдХреНрд╖рд╛ рдЪреЗрддрд╛рд╡рдирд┐рдпреЛрдВ рдХреЗ рд╡рд┐рднрд┐рдиреНрди рд╡рд░реНрдЧ рдЪрд╛рд▓реВ рдХрд░реЗрдВ. рджреЗрдЦреЗрдВ рдЪреЗрддрд╛рд╡рдиреА рдкреНрд░рдХрд╛рд░ рдХреЗ рд▓рд┐рдП рдиреАрдЪреЗ рдЕрдиреБрднрд╛рдЧ
рд╡рд┐рднрд┐рдиреНрди рдЪреЗрддрд╛рд╡рдиреА рд╕рдореВрд╣реЛрдВ рдХрд╛ рд╡рд┐рд╡рд░рдгред рдпрджрд┐ рдПрдХрд╛рдзрд┐рдХ -W рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ,
рдЪреЗрддрд╛рд╡рдиреА рд╕реЗрдЯ рд╕рднреА рдЕрдиреБрд░реЛрдзрд┐рдд рд╡рд░реНрдЧреЛрдВ рдХрд╛ рд╕рдВрдШ рд╣реИред

-ylibdir
рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдХреЛ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдореЙрдбреНрдпреВрд▓ рдЦреЛрдЬ рдкрде рдореЗрдВ рдЬреЛрдбрд╝реЗрдВред рдЬрдм рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЛ рдХреЛрдИ рдорд┐рд▓ рдЬрд╛рддрд╛ рд╣реИ
рдЕрдкрд░рд┐рднрд╛рд╖рд┐рдд рдореЙрдбреНрдпреВрд▓, рдпрд╣ рдЗрди рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдореЗрдВ рд╕рд╣реА рдирд╛рдо рд╡рд╛рд▓реА рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреА рддрд▓рд╛рд╢ рдХрд░рддрд╛ рд╣реИред

-YрдкреНрд░рддреНрдпрдп
рдЦреЛрдЬ рдХрд░рддреЗ рд╕рдордп рдкреНрд░рдпреБрдХреНрдд рд╕реНрд╡реАрдХреГрдд рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдкреНрд░рддреНрдпрдпреЛрдВ рдХреА рд╕реВрдЪреА рдореЗрдВ рдкреНрд░рддреНрдпрдп рдЬреЛрдбрд╝реЗрдВ
рдХреЛрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдкреБрд╕реНрддрдХрд╛рд▓рдп. рд╕реВрдЪреА рдПрдХрд▓ рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐ рдХреЗ рд▓рд┐рдП рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд╣реИ .v.

рдореЙрдбреНрдпреВрд▓ рдкреБрд╕реНрддрдХрд╛рд▓рдп


рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрдВрдкрд╛рдЗрд▓рд░ рдореЙрдбреНрдпреВрд▓ рд▓рд╛рдЗрдмреНрд░реЗрд░реАрдЬрд╝ рдХреЛ рдЙрди рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рд░реВрдк рдореЗрдВ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИ рдЬрд┐рдирдореЗрдВ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╢рд╛рдорд┐рд▓ рд╣реИ
рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓реЗрдВред рд╡рд┐рд╕реНрддрд╛рд░ рдХреЗ рджреМрд░рд╛рди, рд╕рдВрдХрд▓рдХ рдЕрдкрд░рд┐рднрд╛рд╖рд┐рдд рдХреА рддрд╛рддреНрдХрд╛рд▓рд┐рдХрддрд╛ рдХреЛ рдиреЛрдЯрд┐рд╕ рдХрд░рддрд╛ рд╣реИ
рдореЙрдбреНрдпреВрд▓ рдкреНрд░рдХрд╛рд░. рдпрджрд┐ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдЦреЛрдЬ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдХрдВрдкрд╛рдЗрд▓рд░ рдЦреЛрдЬ рдХрд░реЗрдЧрд╛
рдЕрдиреБрдкрд▓рдмреНрдз рдореЙрдбреНрдпреВрд▓ рдкреНрд░рдХрд╛рд░ рдХреЗ рдирд╛рдо рд╡рд╛рд▓реА рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЗ рд▓рд┐рдП рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ред рдпрджрд┐ рдЙрд╕реЗ рдРрд╕реА рдХреЛрдИ рдлрд╝рд╛рдЗрд▓ рдорд┐рд▓рддреА рд╣реИ,
рдпрд╣ рдЗрд╕реЗ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓ рдХреЗ рд░реВрдк рдореЗрдВ рд▓реЛрдб рдХрд░рддрд╛ рд╣реИ, рд╡реЗ рдореЙрдбреНрдпреВрд▓ рдХреЛ рд╡рд┐рд╕реНрддреГрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдлрд┐рд░ рд╕реЗ рдкреНрд░рдпрд╛рд╕ рдХрд░рддреЗ рд╣реИрдВред

рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдореЙрдбреНрдпреВрд▓ рдлрд╝рд╛рдЗрд▓реЛрдВ рдореЗрдВ рдХреЗрд╡рд▓ рдПрдХ рдореЙрдбреНрдпреВрд▓ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП, рд▓реЗрдХрд┐рди рдпрд╣ рдХреЛрдИ рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред
рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдореЙрдбреНрдпреВрд▓ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдореЗрдВ рдпрд╛ рдореБрдЦреНрдп рдбрд┐рдЬрд╝рд╛рдЗрди рдореЗрдВ рдЕрдиреНрдп рдореЙрдбреНрдпреВрд▓ рдХреЛ рд╕рдВрджрд░реНрднрд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред

рд▓рдХреНрд╖реНрдп


рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрдВрдкрд╛рдЗрд▓рд░ рд╡рд┐рднрд┐рдиреНрди рдЙрджреНрджреЗрд╢реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рд▓рдХреНрд╖реНрдпреЛрдВ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИ, рдФрд░
-t рд╡рд╛рдВрдЫрд┐рдд рд▓рдХреНрд╖реНрдп рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрд╡рд┐рдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рд░рд┐рдХреНрдд рд╢реВрдиреНрдп рд▓рдХреНрд╖реНрдп рдХреЗ рдХрд╛рд░рдг рдХреЛрдИ рдХреЛрдб рдЙрддреНрдкрдиреНрди рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИред рдХреА рдЬрд╛рдБрдЪ рдХреЗ рд▓рд┐рдП рдпрд╣ рдЙрдкрдпреЛрдЧреА рд╣реИ
рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдХрд╛ рд╕рд┐рдВрдЯреИрдХреНрд╕ред

VVP рдпрд╣ рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд╣реИ. рд╡реАрд╡реАрдкреА рд▓рдХреНрд╖реНрдп рд╡реАрд╡реАрдкреА рд░рдирдЯрд╛рдЗрдо рдХреЗ рд▓рд┐рдП рдХреЛрдб рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИред рдЙрддреНрдкрд╛рджрди
рдПрдХ рд╕рдВрдкреВрд░реНрдг рдкреНрд░реЛрдЧреНрд░рд╛рдо рд╣реИ рдЬреЛ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд╛ рдЕрдиреБрдХрд░рдг рдХрд░рддрд╛ рд╣реИ рд▓реЗрдХрд┐рди рдЗрд╕реЗ рдЗрд╕рдХреЗ рджреНрд╡рд╛рд░рд╛ рдЪрд▓рд╛рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП VVP
рдЖрджреЗрд╢ред

FPGA рдпрд╣ рдПрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг рд▓рдХреНрд╖реНрдп рд╣реИ рдЬреЛ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рдПрдлрдкреАрдЬреАрдП рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИ, рдЬреНрдпрд╛рджрд╛рддрд░ рдИрдбреАрдЖрдИрдПрдл рджреНрд╡рд╛рд░рд╛
рдкреНрд░рд╛рд░реВрдк рдЖрдЙрдЯрдкреБрдЯ. рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдПрдлрдкреАрдЬреАрдП рдХреЛрдб рдЬрдирд░реЗрдЯрд░ рдкреВрд░реНрдг рдЙрддреНрдкрдиреНрди рдХрд░ рд╕рдХрддрд╛ рд╣реИ
рдбрд┐рдЬрд╝рд╛рдЗрди рдпрд╛ рдИрдбреАрдЖрдИрдПрдл рдореИрдХреНрд░реЛрдЬрд╝ рдЬрд┐рдиреНрд╣реЗрдВ рдмрджрд▓реЗ рдореЗрдВ рдЕрдиреНрдп рджреНрд╡рд╛рд░рд╛ рдмрдбрд╝реЗ рдбрд┐рдЬрд╝рд╛рдЗрди рдореЗрдВ рдЖрдпрд╛рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ
рдФрдЬрд╛рд░ред FPGA рд▓рдХреНрд╖реНрдп рдХрд╛ рддрд╛рддреНрдкрд░реНрдп рд╕рдВрд╢реНрд▓реЗрд╖рдг рд╕реЗ рд╣реИ -S рдЭрдВрдбрд╛ред

рд╡реАрдПрдЪрдбреАрдПрд▓рдИ рдпрд╣ рд▓рдХреНрд╖реНрдп рд╡реЗрд░рд┐рд▓реЙрдЧ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рдХрд╛ рд╡реАрдПрдЪрдбреАрдПрд▓ рдЕрдиреБрд╡рд╛рдж рддреИрдпрд╛рд░ рдХрд░рддрд╛ рд╣реИред рдЖрдЙрдЯрдкреБрдЯ рдПрдХ рд╣реИ
рд╡реЗрд░рд┐рд▓реЙрдЧ рдореЗрдВ рдореЙрдбреНрдпреВрд▓ рдХреЗ рдЕрдиреБрд░реВрдк рд╡реАрдПрдЪрдбреАрдПрд▓ рдЗрдХрд╛рдЗрдпреЛрдВ рд╡рд╛рд▓реА рдПрдХрд▓ рдлрд╝рд╛рдЗрд▓
рд╕реЛрд░реНрд╕ рдХреЛрдбред рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рд╡реЗрд░рд┐рд▓реЙрдЧ рднрд╛рд╖рд╛ рдХрд╛ рдХреЗрд╡рд▓ рдПрдХ рдЙрдкрд╕рдореВрд╣ рд╕рдорд░реНрдерд┐рдд рд╣реИред рджреЗрдЦрдирд╛
рдЕрдзрд┐рдХ рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рд▓рд┐рдП рд╡рд┐рдХреАред

рдЪреЗрддрд╛рд╡рдиреА рдкреНрд░рдХрд╛рд░


рдпреЗ рдЪреЗрддрд╛рд╡рдирд┐рдпреЛрдВ рдХреЗ рдкреНрд░рдХрд╛рд░ рд╣реИрдВ рдЬрд┐рдирдХрд╛ рдЪрдпрди рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ -W рдмрджрд▓рдирд╛ред рд╕рд╛рд░реА рдЪреЗрддрд╛рд╡рдиреА
рдкреНрд░рдХрд╛рд░ (рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛) рд╕рдм) рдХреЗ рд╕рд╛рде рднреА рдЙрдкрд╕рд░реНрдЧ рд▓рдЧрд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдирд╣реАрдВ- рдЙрд╕ рдЪреЗрддрд╛рд╡рдиреА рдХреЛ рдмрдВрдж рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП. рдпрд╣ рд╣реИ
рдХреЗ рдмрд╛рдж рд╕рдмрд╕реЗ рдЙрдкрдпреЛрдЧреА -рджреАрд╡рд╛рд░ рдкреГрдердХ рдЪреЗрддрд╛рд╡рдиреА рдкреНрд░рдХрд╛рд░реЛрдВ рдХреЛ рджрдмрд╛рдиреЗ рдХрд╛ рддрд░реНрдХред

рд╕рдм рдпрд╣ рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд, рдкреЛрд░реНрдЯрдмрд╛рдЗрдВрдб, рдЪрдпрди-рд╢реНрд░реЗрдгреА, рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рдФрд░ рд╕рдХреНрд╖рдо рдмрдирд╛рддрд╛ рд╣реИ
рд╕рдВрд╡реЗрджрдирд╢реАрд▓рддрд╛-рд╕рдВрдкреВрд░реНрдг-рд╕рд░рдгреА рдЪреЗрддрд╛рд╡рдиреА рд╢реНрд░реЗрдгрд┐рдпрд╛рдБред

рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд
рдпрд╣ рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рдШреЛрд╖рдгрд╛рдУрдВ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рд▓рд┐рдП рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдпрджрд┐ рдП
рд╕реНрдХреЗрд▓рд░ рд╡рд╛рдпрд░ рдПрдХреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рд▓реЗрдХрд┐рди рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдореЗрдВ рдШреЛрд╖рд┐рдд рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдпрд╣ рдкреНрд░рд┐рдВрдЯ рдХрд░реЗрдЧрд╛
рдЗрд╕рдХреЗ рдкреНрд░рдердо рдЙрдкрдпреЛрдЧ рдкрд░ рдЪреЗрддрд╛рд╡рдиреАред

рдкреЛрд░реНрдЯрдмрд╛рдЗрдВрдб
рдпрд╣ рдЙрди рдореЙрдбреНрдпреВрд▓ рдЗрдВрд╕реНрдЯреЗрдВрд╢рд┐рдпреЗрд╢рди рдХреЗ рдкреЛрд░реНрдЯ рдХреЗ рд▓рд┐рдП рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдВ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИ рдЬреЛ рдХрдиреЗрдХреНрдЯ рдирд╣реАрдВ рд╣реИрдВ
рд▓реЗрдХрд┐рди рд╢рд╛рдпрдж рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП. рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдбреИрдВрдЧрд▓рд┐рдВрдЧ рдЗрдирдкреБрдЯ рдкреЛрд░реНрдЯ рдПрдХ рдЙрддреНрдкрдиреНрди рдХрд░реЗрдВрдЧреЗ
рдЪреЗрддрд╛рд╡рдиреАред

рдЪрдпрди-рд╢реНрд░реЗрдгреА
рдпрд╣ рд▓рдЧрд╛рддрд╛рд░ рд╕реАрдорд╛ рд╕реЗ рдмрд╛рд╣рд░ рдЪрдпрди рдХреЗ рд▓рд┐рдП рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИред рдЗрд╕рдореЗрдВ рдЖрдВрд╢рд┐рдХ рдпрд╛ рд╢рд╛рдорд┐рд▓ рд╣реИ
рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╕реАрдорд╛ рд╕реЗ рдмрд╛рд╣рд░ рдЪрдпрди рдХреЗ рд╕рд╛рде-рд╕рд╛рде рдПрдХ рдЪрдпрди рдЬрд┐рд╕рдореЗрдВ 'bx рдпрд╛' bz рд╢рд╛рдорд┐рд▓ рд╣реИ
рд╕реВрдЪрдХрд╛рдВрдХ.

timescale
рдпрд╣ рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рдирд┐рд░реНрджреЗрд╢ рдХреЗ рдЕрд╕рдВрдЧрдд рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИред рдпрд╣ рдкрддрд╛ рд▓рдЧрд╛рддрд╛ рд╣реИ
рдпрджрд┐ рдХреБрдЫ рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рдХреЛрдИ рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рдирд╣реАрдВ рд╣реИ, рдпрд╛ рдпрджрд┐ рдореЙрдбреНрдпреВрд▓ рджреВрд╕рд░реЗ рд╕реЗ рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рдкреНрд░рд╛рдкреНрдд рдХрд░рддреЗ рд╣реИрдВ
рдлрд╝рд╛рдЗрд▓ред рджреЛрдиреЛрдВ рдХрд╛ рд╢рд╛рдпрдж рдорддрд▓рдм рдпрд╣ рд╣реИ рдХрд┐ рд╕рдордпрдорд╛рди рдЕрд╕рдВрдЧрдд рд╣реИрдВ, рдФрд░ рдЕрдиреБрдХрд░рдг рд╕рдордп
рднреНрд░рд╛рдордХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рд╕рдВрдХрд▓рди рдХреНрд░рдо рдкрд░ рдирд┐рд░реНрднрд░ рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдЕрдВрддрд░реНрдкреНрд░рд╡рд╛рд╣ рдпрд╣ рдЙрди рд╣рдореЗрд╢рд╛ рдХрдердиреЛрдВ рдХреЗ рд▓рд┐рдП рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИ рдЬрд┐рдирдореЗрдВ рд░рдирдЯрд╛рдЗрдо рдЕрдирдВрдд рд▓реВрдк рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ
(рдмрд┐рдирд╛ рд╡рд┐рд▓рдВрдм рдпрд╛ рд╢реВрдиреНрдп рд╡рд┐рд▓рдВрдм рд╡рд╛рд▓реЗ рдкрде рд╣реИрдВ)ред рдЪреЗрддрд╛рд╡рдирд┐рдпреЛрдВ рдХреЗ рдЗрд╕ рд╡рд░реНрдЧ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рдирд╣реАрдВ рд╣реИ -рджреАрд╡рд╛рд░
рдФрд░ рдЗрд╕рд▓рд┐рдП рдЙрд╕рдХреЗ рдкрд╛рд╕ рдирд╣реАрдВ рд╣реИ рдирд╣реАрдВ- рд╡реИрд░рд┐рдПрдВрдЯ. рдПрдХ рдШрд╛рддрдХ рддреНрд░реБрдЯрд┐ рд╕рдВрджреЗрд╢ рд╣рдореЗрд╢рд╛ рд░рд╣реЗрдЧрд╛
рдореБрджреНрд░рд┐рдд рддрдм рд╣реЛрддрд╛ рд╣реИ рдЬрдм рд╕рдВрдХрд▓рдХ рдпрд╣ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░ рд╕рдХрддрд╛ рд╣реИ рдХрд┐ рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдПрдХ рдЕрдирдВрдд рд╣реЛрдЧрд╛
рд▓реВрдк (рд╕рднреА рдкрдереЛрдВ рдореЗрдВ рдХреЛрдИ рдпрд╛ рд╢реВрдиреНрдп рд╡рд┐рд▓рдВрдм рдирд╣реАрдВ рд╣реИ)ред

рдЬрдм рдЖрдкрдХреЛ рд╕рдВрджреЗрд╣ рд╣реЛ рдХрд┐ рд╣рдореЗрд╢рд╛ рдХрдерди рд░рдирдЯрд╛рдЗрдо рдЕрдирдВрдд рд▓реВрдк рдЙрддреНрдкрдиреНрди рдХрд░ рд░рд╣рд╛ рд╣реИ рддреЛ рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВ
рд╣рдореЗрд╢рд╛ рдЙрди рдХрдердиреЛрдВ рдХреЛ рдвреВрдВрдврдиреЗ рдХреЗ рд▓рд┐рдП рдлрд╝реНрд▓реИрдЧ рдХрд░реЗрдВ рдЬрд┐рдирдХреЗ рддрд░реНрдХ рдХреЛ рд╕рддреНрдпрд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рдпрд╣ рд╣реИ
рдЙрдореНрдореАрдж рд╣реИ рдХрд┐ рдЪреВрдВрдХрд┐ рдХреЛрдб рд╡реНрдпрд╡рд╣рд╛рд░ рдХрд░рддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдХрдИ рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рдЭреВрдареА рд╕рдХрд╛рд░рд╛рддреНрдордХ рд╣реЛрдВрдЧреА
рд╕рднреА рдЪрд░реЛрдВ рдФрд░ рд╕рдВрдХреЗрддреЛрдВ рдХрд╛ рдорд╛рди рдЕрдирд┐рд╢реНрдЪрд┐рдд рд╣реИред

рд╕рдВрд╡реЗрджрдирд╢реАрд▓рддрд╛-рд╕рдВрдкреВрд░реНрдг-рд╡реЗрдХреНрдЯрд░
рдпрд╣ "рд╣рдореЗрд╢рд╛ @*" рдХрдерди рдХреЗ рднреАрддрд░ рдХрд┐рд╕реА рднрд╛рдЧ рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдкрд░ рдЪреЗрддрд╛рд╡рдирд┐рдпрд╛рдБ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИ
рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк рд╕рдВрдкреВрд░реНрдг рд╡реЗрдХреНрдЯрд░ рдХреЛ рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рд╕рдВрд╡реЗрджрдирд╢реАрд▓рддрд╛ рд╕реВрдЪреА рдореЗрдВ рдЬреЛрдбрд╝ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред
рд╣рд╛рд▓рд╛рдБрдХрд┐ рдпрд╣ рд╡реНрдпрд╡рд╣рд╛рд░ IEEE рдорд╛рдирдХ рджреНрд╡рд╛рд░рд╛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рд╡реИрд╕рд╛ рдирд╣реАрдВ рд╣реИ рдЬреИрд╕рд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ
рдЕрдкреЗрдХреНрд╖рд┐рдд рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рдФрд░ рдпрджрд┐ рд╡реЗрдХреНрдЯрд░ рдмрдбрд╝рд╛ рд╣реИ рддреЛ рдЗрд╕рдХрд╛ рдкреНрд░рджрд░реНрд╢рди рдкрд░ рдкреНрд░рднрд╛рд╡ рдкрдбрд╝ рд╕рдХрддрд╛ рд╣реИред

рд╕рдВрд╡реЗрджрдирд╢реАрд▓рддрд╛-рд╕рдВрдкреВрд░реНрдг-рд╕рд░рдгреА
рдпрд╣ рддрдм рдЪреЗрддрд╛рд╡рдиреА рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИ рдЬрдм рдХреЛрдИ рд╢рдмреНрдж "рд╣рдореЗрд╢рд╛ @*" рдХрдерди рдХреЗ рднреАрддрд░ рдЪреБрдирд╛ рдЬрд╛рддрд╛ рд╣реИ
рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк рд╕рдВрдкреВрд░реНрдг рд╕рд░рдгреА рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рд╕рдВрд╡реЗрджрдирд╢реАрд▓рддрд╛ рд╕реВрдЪреА рдореЗрдВ рдЬреБрдбрд╝ рдЬрд╛рддреА рд╣реИред рдпрджреНрдпрдкрд┐
рдпрд╣ рд╡реНрдпрд╡рд╣рд╛рд░ рдЖрдИрдИрдИрдИ рдорд╛рдирдХ рджреНрд╡рд╛рд░рд╛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рд╣реИ, рдпрд╣ рд╡реИрд╕рд╛ рдирд╣реАрдВ рд╣реИ рдЬреИрд╕рд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ
рдЕрдкреЗрдХреНрд╖рд┐рдд рд╣реИ рдФрд░ рдпрджрд┐ рд╕рд░рдгреА рдмрдбрд╝реА рд╣реИ рддреЛ рдкреНрд░рджрд░реНрд╢рди рдкрд░ рдкреНрд░рднрд╛рд╡ рдкрдбрд╝ рд╕рдХрддрд╛ рд╣реИред

рдкреНрд░рдгрд╛рд▓реА FUNCTION рдЯреЗрдмрд▓ рдлрд╝рд╛рдЗрд▓реЗрдВ


рдпрджрд┐ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдирд╛рдо a .sft рдкреНрд░рддреНрдпрдп, рддреЛ рдЗрд╕реЗ рдПрдХ рд╕рд┐рд╕реНрдЯрдо рдлрд╝рдВрдХреНрд╢рди рддрд╛рд▓рд┐рдХрд╛ рдорд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИ
рдлрд╝рд╛рдЗрд▓ред рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЛ рд░рд┐рдЯрд░реНрди рдкреНрд░рдХрд╛рд░реЛрдВ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рд┐рд╕реНрдЯрдо рдлрд╝рдВрдХреНрд╢рди рддрд╛рд▓рд┐рдХрд╛ рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ
рд╕рд┐рд╕реНрдЯрдо рдлрд╝рдВрдХреНрд╢рдВрд╕ рдХреЗ рд▓рд┐рдП. рдпрд╣ рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХреНрдпреЛрдВрдХрд┐ рдХрдВрдкрд╛рдЗрд▓рд░ рдХреЛ рдЗрд╕ рдЬрд╛рдирдХрд╛рд░реА рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ
рд╡рд┐рд╕реНрддреГрдд рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐рдпрд╛рдБ рдЬрд┐рдирдореЗрдВ рдпреЗ рд╕рд┐рд╕реНрдЯрдо рдлрд╝рдВрдХреНрд╢рди рд╢рд╛рдорд┐рд▓ рд╣реИрдВ, рд▓реЗрдХрд┐рди sizetf рдирд╣реАрдВ рдЪрд▓рд╛ рд╕рдХрддреЗ
рдХрд╛рд░реНрдп рдХрд░рддрд╛ рд╣реИ рдХреНрдпреЛрдВрдХрд┐ рдЗрд╕рдХрд╛ рдХреЛрдИ рд░рди-рдЯрд╛рдЗрдо рдирд╣реАрдВ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ рдХрд╛ рдкреНрд░рд╛рд░реВрдк ASCII рд╣реИ, рдкреНрд░рддрд┐ рдкрдВрдХреНрддрд┐ рдПрдХ рдлрд╝рдВрдХреНрд╢рдиред рдЦрд╛рд▓реА рдкрдВрдХреНрддрд┐рдпреЛрдВ рдХреЛ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░
рд╡реЗ рдкрдВрдХреНрддрд┐рдпрд╛рдБ рдЬреЛ 'рд╕реЗ рд╢реБрд░реВ рд╣реЛрддреА рд╣реИрдВ#'рдЪрд░рд┐рддреНрд░ рдЯрд┐рдкреНрдкрдгреА рдкрдВрдХреНрддрд┐рдпрд╛рдБ рд╣реИрдВред рдкреНрд░рддреНрдпреЗрдХ рдЧреИрд░-рдЯрд┐рдкреНрдкрдгреА рдкрдВрдХреНрддрд┐ рдкреНрд░рд╛рд░рдВрдн рд╣реЛрддреА рд╣реИ
рдлрд╝рдВрдХреНрд╢рди рдирд╛рдо рдХреЗ рд╕рд╛рде, рдлрд┐рд░ рд╡реАрдкреАрдЖрдИ рдкреНрд░рдХрд╛рд░ (рдпрд╛рдиреА vpiSysFuncReal)ред рдирд┐рдореНрди рдкреНрд░рдХрд╛рд░ рд╣реИрдВ
рд╕рдорд░реНрдерд┐рдд:

vpiSysFuncReal
рдлрд╝рдВрдХреНрд╢рди рд╡рд╛рд╕реНрддрд╡рд┐рдХ/рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рдордп рдорд╛рди рд▓реМрдЯрд╛рддрд╛ рд╣реИред

vpiSysFuncInt
рдлрд╝рдВрдХреНрд╢рди рдПрдХ рдкреВрд░реНрдгрд╛рдВрдХ рд▓реМрдЯрд╛рддрд╛ рд╣реИ.

vpiSysFuncSized
рдлрд╝рдВрдХреНрд╢рди рджреА рдЧрдИ рдЪреМрдбрд╝рд╛рдИ рдХреЗ рд╕рд╛рде рдПрдХ рд╡реЗрдХреНрдЯрд░ рд▓реМрдЯрд╛рддрд╛ рд╣реИ, рдФрд░ рд╣рд╕реНрддрд╛рдХреНрд╖рд░рд┐рдд рдпрд╛ рдЕрд╣рд╕реНрддрд╛рдХреНрд╖рд░рд┐рдд рд╣реЛрддрд╛ рд╣реИ
рдЭрдВрдбреЗ рдХреЗ рдЕрдиреБрд╕рд╛рд░.

рдХрдорд╛рди рдлрд╝рд╛рдЗрд▓реЗрдВ


рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХреЛ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдФрд░ рдХреБрдЫ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рд░рдЦрдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреА рд╣реИ
рд▓рдВрдмреА рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдХреЗ рдмрдЬрд╛рдп рдЯреЗрдХреНрд╕реНрдЯ рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рд╕реНрд╡рд┐рдЪ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИред рдХрдорд╛рдВрдб рдлрд╛рдЗрд▓реЛрдВ рдореЗрдВ рд╕реА рд╢рд╛рдорд┐рд▓ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ
рдпрд╛ C++ рд╢реИрд▓реА рдЯрд┐рдкреНрдкрдгрд┐рдпрд╛рдБ, рд╕рд╛рде рд╣реА # рдЯрд┐рдкреНрдкрдгрд┐рдпрд╛рдБ, рдпрджрд┐ # рдкрдВрдХреНрддрд┐ рдкреНрд░рд╛рд░рдВрдн рдХрд░рддреА рд╣реИред

рдкрдЯреНрдЯрд┐рдХрд╛ рдирд╛рдо
рдПрдХ рд╕рд╛рдзрд╛рд░рдг рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдпрд╛ рдлрд╝рд╛рдЗрд▓ рдкрде рдХреЛ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдирд╛рдо рдорд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИред
рдкрде рдкрд╣рд▓реЗ рдЧреИрд░-рд╢реНрд╡реЗрдд-рдЕрдВрддрд░рд┐рдХреНрд╖ рд╡рд░реНрдг рд╕реЗ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИред рдЪрд░ рд╣реИрдВ
рдлрд╝рд╛рдЗрд▓ рдирд╛рдореЛрдВ рдореЗрдВ рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрд┐рдд.

-c cmdрдлрд╝рд╛рдЗрд▓ -f cmdрдлрд╝рд╛рдЗрд▓
A -c or -f рдЯреЛрдХрди рдПрдХ рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓ рдХреЛ рдЙрдкрд╕рд░реНрдЧ рдХрд░рддрд╛ рд╣реИ, рдмрд┐рд▓реНрдХреБрд▓ рд╡реИрд╕реЗ рд╣реА рдЬреИрд╕реЗ рдпрд╣ рдХрдорд╛рдВрдб рдкрд░ рдХрд░рддрд╛ рд╣реИ
рдкрдВрдХреНрддрд┐ред Cmdрдлрд╝рд╛рдЗрд▓ рдЙрд╕реА рдкрдВрдХреНрддрд┐ рдпрд╛ рдЕрдЧрд▓реА рдЧреИрд░-рдЯрд┐рдкреНрдкрдгреА рдкрдВрдХреНрддрд┐ рдкрд░ рд╣реЛ рд╕рдХрддреА рд╣реИред

-y libdir
A -y рдЯреЛрдХрди рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рдПрдХ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдХреЛ рдЙрдкрд╕рд░реНрдЧ рдХрд░рддрд╛ рд╣реИ, рдмрд┐рд▓реНрдХреБрд▓ рд╡реИрд╕реЗ рд╣реА рдЬреИрд╕реЗ рдпрд╣ рдХрд░рддрд╛ рд╣реИ
рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдкрд░. рдХреЗ рд▓рд┐рдП рдкреИрд░рд╛рдореАрдЯрд░ -y рдЭрдВрдбрд╛ рдПрдХ рд╣реА рдкрдВрдХреНрддрд┐ рдкрд░ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ рдпрд╛
рдЕрдЧрд▓реА рдЧреИрд░-рдЯрд┐рдкреНрдкрдгреА рдкрдВрдХреНрддрд┐.

рдореЗрдВ рдЪрд░ libdir рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпреЗ рдЬрд╛рддреЗ рд╣реИрдВ.

+рдЗрдВрджрд┐рд░+рд╢рд╛рдорд┐рд▓
RSI +рдЗрдВрджрд┐рд░+ рдХрдорд╛рдВрдб рдлрд╛рдЗрд▓реЛрдВ рдореЗрдВ рдЯреЛрдХрди рд╢рд╛рдорд┐рд▓ рдлрд╛рдЗрд▓реЛрдВ рдХреЛ рдЦреЛрдЬрдиреЗ рдХреЗ рд▓рд┐рдП рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рджреЗрддрд╛ рд╣реИ
рдмрд┐рд▓реНрдХреБрд▓ рдЙрд╕реА рддрд░рд╣ -I рдЭрдВрдбреЗ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдкрд░ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВред рдЕрдВрддрд░ рдпрд╣ рд╣реИ
рд╡рд╣ рдмрд╣реБ +рд╢рд╛рдорд┐рд▓ рд╣реИрдВ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдПрдБ рдПрдХрд▓ рдХреЗ рд▓рд┐рдП рдорд╛рдиреНрдп рдкреИрд░рд╛рдореАрдЯрд░ рд╣реИрдВ +рдЗрдВрджрд┐рд░+
рдЯреЛрдХрди, рд╣рд╛рд▓рд╛рдБрдХрд┐ рдЖрдкрдХреЗ рдкрд╛рд╕ рдПрдХрд╛рдзрд┐рдХ рднреА рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ +рдЗрдВрджрд┐рд░+ рд▓рд╛рдЗрдиреЛрдВред

рдореЗрдВ рдЪрд░ рд╢рд╛рдорд┐рд▓ рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпреЗ рдЬрд╛рддреЗ рд╣реИрдВ.

+рд▓рд┐рдмреЗрдХреНрд╕реНрдЯ+ext
RSI +libext рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓реЛрдВ рдореЗрдВ рдЯреЛрдХрди рдкрд╛рдБрдЪ рдлрд╝рд╛рдЗрд▓ рдПрдХреНрд╕рдЯреЗрдВрд╢рди рдХреА рддрд▓рд╛рд╢ рдХрд░рддреЗ рд╕рдордп рдкреНрд░рдпрд╛рд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП
рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдлрд╝рд╛рдЗрд▓. рдХреЗ рд╕рд╛рде рдорд┐рд▓рдХрд░ рдпрд╣ рдЙрдкрдпреЛрдЧреА рд╣реИ -y рдХреЛрд╢рд┐рд╢ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░рддреНрдпрдпреЛрдВ рдХреЛ рд╕реВрдЪреАрдмрджреНрдз рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЭрдВрдбреЗ
рдЕрдЧрд▓реА рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдкрд░ рдЬрд╛рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдкреНрд░рддреНрдпреЗрдХ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВред

+libdir+рджреАрд░
рдпрд╣ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЛ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд░рдиреЗ рдХрд╛ рдПрдХ рдФрд░ рддрд░реАрдХрд╛ рд╣реИред -y рдзреНрд╡рдЬ рджреЗрдЦреЗрдВ.

+libdir-nocase+рджреАрд░
рдпрд╣ рдЗрд╕ рдкреНрд░рдХрд╛рд░ рд╣реИ +libdir рдХрдерди, рд▓реЗрдХрд┐рди рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рдЕрдВрджрд░ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдШреЛрд╖рд┐рдд
рдпрд╣рд╛рдВ рдХреЗрд╕ рдЕрд╕рдВрд╡реЗрджрдирд╢реАрд▓ рд╣реИрдВред рд▓реБрдХрдЕрдк рдореЗрдВ рдЧреБрдо рдореЙрдбреНрдпреВрд▓ рдирд╛рдо рдХрд╛ рдореЗрд▓ рдЦрд╛рдирд╛ рдЬрд░реВрд░реА рдирд╣реАрдВ рд╣реИ
рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдХреЗрд╕, рдЬрдм рддрдХ рдЕрдХреНрд╖рд░ рд╕рд╣реА рд╣реИрдВред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, "рдлреВ" рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ
"Foo.v" рд▓реЗрдХрд┐рди "bar.v" рдирд╣реАрдВред

+рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░реЗрдВ+рдирд╛рдо=рдореВрд▓реНрдп
RSI +рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░реЗрдВ+ рдЯреЛрдХрди рд╡реИрд╕рд╛ рд╣реА рд╣реИ -D рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдкрд░ рд╡рд┐рдХрд▓реНрдк. рдореВрд▓реНрдп
рдЯреЛрдХрди рдХрд╛ рднрд╛рдЧ рд╡реИрдХрд▓реНрдкрд┐рдХ рд╣реИ.

+рд╕рдордпрдорд╛рди+рдореВрд▓реНрдп
RSI +рд╕рдордпрдорд╛рди+ рд╕рд┐рдореБрд▓реЗрд╢рди рдХреЗ рд▓рд┐рдП рдбрд┐рдлрд╝реЙрд▓реНрдЯ рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рд╕реЗрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЯреЛрдХрди рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред
рдпрд╣ рдХрд┐рд╕реА рднреА 'рдЯрд╛рдЗрдорд╕реНрдХреЗрд▓ рдирд┐рд░реНрджреЗрд╢' рд╕реЗ рдкрд╣рд▓реЗ рдпрд╛ рдЙрд╕рдХреЗ рдмрд╛рдж рдХреА рд╕рдордп рдЗрдХрд╛рдЗрдпрд╛рдБ рдФрд░ рдкрд░рд┐рд╢реБрджреНрдзрддрд╛ рд╣реИ
`рдкреБрдирд░реНрд╕реНрдерд╛рдкрд┐рдд рдирд┐рд░реНрджреЗрд╢ред рдбрд┐рдлрд╝реЙрд▓реНрдЯ 1s/1s рд╣реИ.

+ рдЯреЙрдкрд░-рдлрд╝рд╛рдЗрд▓ рдирд╛рдо
рдпрд╣ рдЯреЛрдХрди рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рдЗрд╕рдХреЗ рдмрд╛рдж рдХреЗ рдлрд╝рд╛рдЗрд▓ рдирд╛рдореЛрдВ рдХрд╛ рдЕрдиреБрд╡рд╛рдж рдХрд░рдиреЗ рдХрд╛ рдХрд╛рд░рдг рдмрдирддрд╛ рд╣реИ
рдЕрдкрд░рдХреЗрд╕ред рдпрд╣ рдЙрди рд╕реНрдерд┐рддрд┐рдпреЛрдВ рдореЗрдВ рдорджрдж рдХрд░рддрд╛ рд╣реИ рдЬрд╣рд╛рдВ рдПрдХ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдбреЙрд╕ рд╕реЗ рд╣реЛрдХрд░ рдЧреБрдЬрд░реА рд╣реИ
рдорд╢реАрди, рдФрд░ рдЗрд╕ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдореЗрдВ рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рдордВрдЧ рд╣реЛ рдЬрд╛рддреЗ рд╣реИрдВред

+tolower-filename
рдпрд╣ рд╕рдорд╛рди рд╣реИ + рдЯреЙрдкрд░-рдлрд╝рд╛рдЗрд▓ рдирд╛рдо рд╣реИрдХ рдКрдкрд░ рд╡рд░реНрдгрд┐рдд рд╣реИред

+рдкреВрд░реНрдгрд╛рдВрдХ-рдЪреМрдбрд╝рд╛рдИ+рдореВрд▓реНрдп
рдпрд╣ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рдкреВрд░реНрдгрд╛рдВрдХ рдЪрд░ рдХреЗ рд▓рд┐рдП рдЪреМрдбрд╝рд╛рдИ рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ
рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрддред рдбрд┐рдлрд╝реЙрд▓реНрдЯ 32 рд╣реИ, рдорд╛рди рдХреЛрдИ рднреА рд╡рд╛рдВрдЫрд┐рдд рдкреВрд░реНрдгрд╛рдВрдХ рдорд╛рди рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдЪрд░ IN рдХрдорд╛рди рдлрд╝рд╛рдЗрд▓реЗрдВ


рдХреБрдЫ рдорд╛рдорд▓реЛрдВ рдореЗрдВ, iverilog рдХрдорд╛рдВрдб рдлрд╝рд╛рдЗрд▓реЛрдВ рдореЗрдВ рд╡реЗрд░рд┐рдПрдмрд▓ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИред рдпреЗ рдХреЗ рддрд╛рд░ рд╣реИрдВ
рдлреЙрд░реНрдо "$(VARNAME)" рдпрд╛ "${VARNAME}", рдХрд╣рд╛рдБ VARNAME рдкрд░реНрдпрд╛рд╡рд░рдг рдЪрд░ рдХрд╛ рдирд╛рдо рд╣реИ
рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдПред рд╕рдВрдкреВрд░реНрдг рд╕реНрдЯреНрд░рд┐рдВрдЧ рдХреЛ рдЙрд╕ рд╡реЗрд░рд┐рдПрдмрд▓ рдХреА рд╕рд╛рдордЧреНрд░реА рд╕реЗ рдмрджрд▓ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЪрд░ рд╣реИрдВ
рдХреЗрд╡рд▓ рдЙрди рд╕рдВрджрд░реНрднреЛрдВ рдореЗрдВ рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬреЛ рдлрд╝рд╛рдЗрд▓ рдФрд░ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рд╕рд╣рд┐рдд рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдЙрдирдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддреЗ рд╣реИрдВ
рддрд╛рд░ред

рдкрд░рд┐рд╡рд░реНрддрдиреАрдп рдорд╛рди рдСрдкрд░реЗрдЯрд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рд╡рд╛рддрд╛рд╡рд░рдг рд╕реЗ рдЖрддреЗ рд╣реИрдВ, рдкреНрд░реАрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдирд╣реАрдВ
рдлрд╝рд╛рдЗрд▓ рдпрд╛ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдореЗрдВ рдХрд╣реАрдВ рдФрд░ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд░рддрд╛ рд╣реИред

рдкреВрд░реНрд╡рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдореИрдХреНрд░реЛ


рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдореИрдХреНрд░реЛрдЬрд╝ рдХрдВрдкрд╛рдЗрд▓рд░ рджреНрд╡рд╛рд░рд╛ рдкреВрд░реНрд╡рдирд┐рд░реНрдзрд╛рд░рд┐рдд рд╣реИрдВ:

__ICARUS__ = 1
рдЗрдХрд╛рд░рд╕ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЗ рд╕рд╛рде рд╕рдВрдХрд▓рди рдХрд░рддреЗ рд╕рдордп рдЗрд╕реЗ рд╣рдореЗрд╢рд╛ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

__VAMS_ENABLE__ = 1
рдпрджрд┐ рд╡реЗрд░рд┐рд▓реЙрдЧ-рдПрдПрдордПрд╕ рд╕рдХреНрд╖рдо рд╣реИ рддреЛ рдЗрд╕реЗ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

рдЙрджрд╛рд╣рд░рдг


рдпреЗ рдЙрджрд╛рд╣рд░рдг рдорд╛рдирддреЗ рд╣реИрдВ рдХрд┐ рдЖрдкрдХреЗ рдкрд╛рд╕ рд╡рд░реНрддрдорд╛рди рдореЗрдВ hello.v рдирд╛рдордХ рдПрдХ рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓ рд╣реИ
рдбрд╛рдпрд░реЗрдХреНрдЯрд░реА

hello.v рдХреЛ a.out рдирд╛рдордХ рдирд┐рд╖реНрдкрд╛рджрди рдпреЛрдЧреНрдп рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП:

рдЗрд╡реЗрд░рд┐рд▓реЙрдЧ рд╣реЗрд▓реЛ.рд╡реА

hello.v рдХреЛ hello рдирд╛рдордХ рдирд┐рд╖реНрдкрд╛рджрди рдпреЛрдЧреНрдп рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП:

рдЗрд╡реЗрд░рд┐рд▓реЙрдЧ -рдУ рд╣реЗрд▓реЛ рд╣реЗрд▓реЛ.рд╡реА

рд╡реАрд╡реАрдкреА рд░рдирдЯрд╛рдЗрдо рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рд╕рдВрдХрд▓рд┐рдд рдФрд░ рдЪрд▓рд╛рдиреЗ рдХреЗ рд▓рд┐рдП:

iverilog -ohello.vvp -tvvp hello.v

onworks.net рд╕реЗрд╡рд╛рдУрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдСрдирд▓рд╛рдЗрди iverilog рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВ


рдлреНрд░реА рд╕рд░реНрд╡рд░ рдФрд░ рд╡рд░реНрдХрд╕реНрдЯреЗрд╢рди

рд╡рд┐рдВрдбреЛрдЬ рдФрд░ рд▓рд╛рдЗрдиреЗрдХреНрд╕ рдПрдк рдбрд╛рдЙрдирд▓реЛрдб рдХрд░реЗрдВ

рд▓рд┐рдирдХреНрд╕ рдХрдорд╛рдВрдб

Ad