IngleseFranceseSpagnolo

Ad


Favicon di OnWorks

gvhdl - Online nel cloud

Esegui gvhdl nel provider di hosting gratuito OnWorks su Ubuntu Online, Fedora Online, emulatore online Windows o emulatore online MAC OS

Questo è il comando gvhdl che può essere eseguito nel provider di hosting gratuito OnWorks utilizzando una delle nostre molteplici workstation online gratuite come Ubuntu Online, Fedora Online, emulatore online Windows o emulatore online MAC OS

PROGRAMMA:

NOME


gvhdl - Frontend al compilatore/simulatore VHDL FreeHDL.

SINOSSI


gvdl [OPZIONE] ... [FILE_VHDL] ... [FILE_OGGETTO]...

DESCRIZIONE


HDL gratuito è una suite di compilatori/simulatori per il linguaggio di descrizione hardware VHDL. VHDL'93
così come gli standard VHDL'87 sono supportati.

HDL gratuito traduce i FILE sorgente VHDL originali in C++. Quindi, la sorgente C++ può essere
compilato e collegato al kernel per costruire il programma di simulazione. Avvio del generato
eseguibile simulerà il modello VHDL corrispondente. L'effettivo processo di costruzione per
generare il simulatore dalla sorgente VHDL è un processo complesso che viene gestito dal
gvdl script.

FILE_VHDL è un elenco di nomi di file di origine VHDL che devono terminare con .vhdl o .vhd. Il primo
Il nome del file VHDL determina anche il nome dell'eseguibile del simulatore. Questa è la finale
eseguibile sarà chiamato dopo il primo file VHDL senza l'estensione .vhdl o .vhd.
Nota che i file oggetto e il simulatore verranno creati nel corrente
directory.

FILE_OGGETTO specifica un elenco di file oggetto collegati all'eseguibile del simulatore.
gvdl considera tutti i file che terminano con .o come file oggetto. Tipicamente, questi oggetti
i file vengono generati in precedenza (usando l'opzione -c) dal codice sorgente VHDL durante un separato
fase di compilazione.

VERSIONI


-L VHDLLIB
Percorso alla directory radice della libreria VHDL. All'interno di questa directory il compilatore cerca
un file chiamato v2cc.libs. Il file di mappatura v2cc.libs traduce i nomi delle unità di libreria
alle directory. Si noti che è possibile fornire più di un VHDLLIB.

-g Aggiunge informazioni di debug all'eseguibile. In dettaglio, questa opzione associa il
codice macchina generato alle righe corrispondenti nei file sorgente VHDL.

-G Aggiunge le informazioni di debug all'eseguibile ma non associa il codice macchina a
Linee sorgente VHDL. Questa opzione viene effettivamente utilizzata per eseguire il debug del codice C++ generato.

-c Non generare l'eseguibile del simulatore. Usando questa opzione, il compilatore tradotto
Sorgente VHDL in eseguibili e li compila in codice oggetto ma non lo fa
generare un eseguibile simulatore finale. Questa opzione è particolarmente utile per compilare
Pacchetti VHDL.

-l NOME LIBRO
Associa il codice sorgente VHDL alla libreria VHDL LIBNAME. Di default il nome della libreria
LAVORO è usato. Questa opzione è particolarmente importante se i componenti VHDL di diversi
Le librerie VHDL devono essere integrate nel simulatore. Si noti che per
utilizzare correttamente un componente VHDL da un altro rispetto alla libreria di lavoro corrente,
i file VHDL corrispondenti devono essere trovati dal compilatore utilizzando i meccanismi
descritto in v2cc.libs. Inoltre, i componenti devono essere compilati con l'appropriato
-l LIBNAME opzione.

--visibilità-componente-rilassata
Consente associazioni predefinite invisibili da LAVORO.

--libiee
Aggiungere i file della libreria standard IEEE all'eseguibile della simulazione.

AMBIENTE VARIABILI

V2CC_LIBRARY_PATH
La variabile V2CC_LIBRARY_PATH consiste di ":" nomi di file separati. Inoltre
la variabile d'ambiente, puoi usare l'opzione della riga di comando "-L libdir" con
v2cc. Le directory specificate con "-L" vengono aggiunte prima di quelle specificate
di V2CC_LIBRARY_PATH. Nel percorso finale della libreria, appaiono nello stesso ordine di
sulla riga di comando.

SUPPORTATI VHDL SOTTOINSIEME


Attualmente, FreeHDL non supporta l'intero standard VHDL'93. Il seguente incompleto
list fornisce una panoramica di ciò che non è attualmente supportato:

- L'associazione individuale di formali di tipo composto non è supportata.

- Le variabili condivise non sono supportate.

- La transazione degli attributi, tranquilla, stabile e ritardata non è supportata.

- Gli attributi definiti dall'utente non sono supportati.

- I gruppi non sono supportati.

- Le assegnazioni dei segnali protetti non sono supportate.

- Le configurazioni non sono supportate.

- Attualmente, i driver non possono essere disattivati.

ESEMPI


Usa il

gvhdl -c sommatore.vhdl

per creare un file oggetto per adder.vhdl. Nota che adder.vhdl può contenere diversi VHDL
modelli.

gvhdl sommatore.vhdl

genererà un simulatore per il ultimo Modello VHDL trovato in adder.vhdl. Tuttavia, in questo
caso, tutti i componenti VHDL in quell'area richiesta per costruire il simulatore devono essere inclusi in
il file sorgente VHDL.

gvhdl top.vhdl adder.o --libieee

genera un simulatore per l'ultimo modello VHDL trovato in top.vhdl compilando tutti i modelli in
top.vhdl e collegamento (il file oggetto precedentemente generato) adder.o e lo standard IEEE
librerie all'eseguibile.

gvhdl -c -l mylib adder.vhdl

creerà un file oggetto che include tutti i componenti forniti in adder.vhdl. Tuttavia, in
in questo caso i componenti saranno associati alla libreria mylib invece che a quella predefinita
nome della libreria lavoro. Nota che l'opzione -l ha effetto solo sul codice sorgente C++ generato ma
non altera il luogo in cui sono archiviati i file oggetto o gli eseguibili.

SIMULAZIONE COMANDI


Dopo che il simulatore è stato avviato viene stampato un breve riepilogo dei comandi disponibili
allo schermo:

C : eseguire cicli = eseguire cicli di simulazione
n : successivo = esegue il ciclo di simulazione successivo
q : esci = esci dalla simulazione
R : esegui = esegue la simulazione per
d : dump = segnali di dump
doff : dump off = stop al dump dei segnali
don : dump on = continua a scaricare i segnali
s : mostra = mostra i valori del segnale
dv : dump var = dump di un segnale dalle liste di segnali
ds: dump show = mostra l'elenco dei segnali scaricati
nds : numero show = mostra il numero di segnali scaricati
dc [-f ] [-T ] [-cfg ] [-Q]
: configura il processo di dump

Notare che i segnali vengono scaricati in un file (il nome file predefinito è "wave.dmp") in formato VCD.
Questo formato di file dovrebbe essere accettato da ogni visualizzatore di forme d'onda VCD. Il nome del file è impostato su
"wave.dmp" ma può essere modificato utilizzando "dc -f ". Tuttavia, assicurati di eseguire
"dc -f ..." prima di eseguire "d".

DI MOTO COMANDO LINE VERSIONI


La simulazione può essere controllata tramite il parametro della riga di comando '-cmd "cmd1; cmd2; ..."' dove
'cmd1', 'cmd2', ... sono comandi di simulazione descritti nella sezione precedente. Nota
che ogni comando deve essere separato da ';'. Ad esempio, l'esecuzione

./top -cmd "d;esegui 1000 ns;q;"

avvierà il programma di simulazione 'top', scaricherà tutti i segnali ed eseguirà la simulazione per 1000 ns.
Infine, la simulazione è terminata. In realtà, l'ultimo comando 'q;' è facoltativo in quanto
simulatore termina automaticamente non appena viene eseguito l'ultimo comando.

Usa gvhdl online usando i servizi onworks.net


Server e workstation gratuiti

Scarica app per Windows e Linux

  • 1
    libjpeg-turbo
    libjpeg-turbo
    libjpeg-turbo è un codec di immagine JPEG
    che utilizza istruzioni SIMD (MMX, SSE2,
    NEON, AltiVec) per accelerare la linea di base
    Compressione e decompressione JPEG attiva
    x86, x8...
    Scarica libjpeg-turbo
  • 2
    Xtreme Download Manager
    Xtreme Download Manager
    Il progetto ha ora una nuova casa:
    https://xtremedownloadmanager.com/ For
    sviluppatori:
    https://github.com/subhra74/xdm Xtreme
    Download Manager è un potente strumento per...
    Scarica Xtreme Download Manager
  • 3
    TTGO VGA32 Lite
    TTGO VGA32 Lite
    Caratteristiche:4:3 e 16:9 a bassa risoluzione
    Uscita VGATastiera e mouse PS/2
    interfaccia utente basata su inputText (TUI)
    con dialog manager Partial Unicode
    supportoSlave dis...
    Scarica TTGO VGA32 Lite
  • 4
    Bootloader EFI Clover
    Bootloader EFI Clover
    Il progetto si è spostato in
    https://github.com/CloverHackyColor/CloverBootloader..
    Caratteristiche: Avvia macOS, Windows e Linux
    in modalità UEFI o legacy su Mac o PC con
    UE...
    Scarica il bootloader Clover EFI
  • 5
    rpm uniti
    rpm uniti
    Unisciti a noi in Gitter!
    https://gitter.im/unitedrpms-people/Lobby
    Abilita il repository URPMS nel tuo
    sistema -
    https://github.com/UnitedRPMs/unitedrpms.github.io/bl...
    Scarica unitedrpms
  • 6
    Potenzia le librerie C++
    Potenzia le librerie C++
    Boost fornisce portatile gratuito
    librerie C++ sottoposte a revisione paritaria. Il
    l'accento è posto sulle librerie portatili che
    funzionano bene con la libreria standard C++.
    Vedi http://www.bo...
    Scarica le librerie Boost C++
  • Di Più "

Comandi Linux

Ad