ພາສາອັງກິດພາສາຝຣັ່ງແອສປາໂຍນ

Ad


OnWorks favicon

iverilog - ອອນລາຍໃນຄລາວ

ເປີດໃຊ້ iverilog ໃນ OnWorks ຜູ້ໃຫ້ບໍລິການໂຮດຕິ້ງຟຣີຜ່ານ Ubuntu Online, Fedora Online, Windows online emulator ຫຼື MAC OS online emulator

ນີ້ແມ່ນຄໍາສັ່ງ iverilog ທີ່ສາມາດດໍາເນີນການໄດ້ໃນ OnWorks ຜູ້ໃຫ້ບໍລິການໂຮດຕິ້ງຟຣີໂດຍໃຊ້ຫນຶ່ງໃນຫຼາຍບ່ອນເຮັດວຽກອອນໄລນ໌ຂອງພວກເຮົາເຊັ່ນ Ubuntu Online, Fedora Online, Windows online emulator ຫຼື MAC OS online emulator

ໂຄງການ:

NAME


iverilog - Icarus Verilog compiler

ສະຫຼຸບສັງລວມ


ໄອກີໂລ [-ESVv] [-Bpath] [-ccmdfile|-fcmdfile] [-Dmacro[=defn]] [-pflag=value] [-dname]
[-g1995|-g2001|-g2005|-g ] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] sourcefile

ລາຍລະອຽດ


ໄອກີໂລ ແມ່ນ compiler ທີ່ແປລະຫັດແຫຼ່ງ Verilog ເຂົ້າໄປໃນບັນດາໂຄງການທີ່ສາມາດປະຕິບັດໄດ້
ການຈໍາລອງ, ຫຼືຮູບແບບ netlist ອື່ນໆສໍາລັບການປຸງແຕ່ງຕື່ມອີກ. ສະ​ຫນັບ​ສະ​ຫນູນ​ໃນ​ປັດ​ຈຸ​ບັນ​
ເປົ້າໝາຍແມ່ນ vvp ສໍາລັບການຈໍາລອງ, ແລະ fpga ສໍາລັບການສັງເຄາະ. ປະເພດເປົ້າຫມາຍອື່ນໆແມ່ນເພີ່ມເປັນ
ຜູ້ຜະລິດລະຫັດຖືກປະຕິບັດ.

OPTIONS


ໄອກີໂລ ຍອມຮັບທາງເລືອກຕໍ່ໄປນີ້:

-Bຖານ ໄດ້ ໄອກີໂລ ໂຄງ​ການ​ນໍາ​ໃຊ້​ບັນ​ດາ​ໂຄງ​ການ​ພາຍ​ນອກ​ແລະ​ໄຟລ​໌​ການ​ຕັ້ງ​ຄ່າ​ເພື່ອ preprocess​
ແລະລວບລວມແຫຼ່ງ Verilog. ໂດຍປົກກະຕິ, ເສັ້ນທາງທີ່ໃຊ້ເພື່ອຊອກຫາເຄື່ອງມືເຫຼົ່ານີ້ແມ່ນ
ການກໍ່ສ້າງເຂົ້າໄປໃນ ໄອກີໂລ ໂຄງການ. ຢ່າງໃດກໍຕາມ, ໄດ້ -B ສະຫຼັບອະນຸຍາດໃຫ້ຜູ້ໃຊ້ເລືອກ
ຊຸດໂຄງການທີ່ແຕກຕ່າງກັນ. ເສັ້ນທາງທີ່ມອບໃຫ້ແມ່ນໃຊ້ເພື່ອຊອກຫາສະຖານທີ່ ivlpp, ivl, ລະຫັດ
ເຄື່ອງກໍາເນີດໄຟຟ້າແລະໂມດູນ VPI.

-cເອກະສານ -fເອກະສານ
ທຸງເຫຼົ່ານີ້ລະບຸໄຟລ໌ປ້ອນຂໍ້ມູນທີ່ມີບັນຊີລາຍຊື່ຂອງໄຟລ໌ແຫຼ່ງ Verilog.
ນີ້ແມ່ນຄ້າຍຄືກັນກັບ ຄໍາສັ່ງ ເອກະສານ ຂອງ simulators Verilog ອື່ນໆ, ໃນນັ້ນມັນເປັນ
ໄຟລ໌ທີ່ມີຊື່ໄຟລ໌ແທນທີ່ຈະເອົາພວກມັນຢູ່ໃນເສັ້ນຄໍາສັ່ງ. ເບິ່ງ
ຄໍາສັ່ງ ໄຟ ຂ້າງລຸ່ມນີ້.

-Dມະຫາພາກ ກໍານົດມະຫາພາກ ມະຫາພາກ ດ້ວຍສະຕຣິງ `1' ເປັນຄໍານິຍາມຂອງມັນ. ແບບຟອມນີ້ແມ່ນປົກກະຕິ
ໃຊ້ເພື່ອກະຕຸ້ນເງື່ອນໄຂ ifdef ໃນແຫຼ່ງ Verilog ເທົ່ານັ້ນ.

-Dmacro=defn
ກໍານົດມະຫາພາກ ມະຫາພາກ as defn.

-dຊື່ ເປີດໃຊ້ຫ້ອງຮຽນຂອງຂໍ້ຄວາມແກ້ບັນຫາການລວບລວມຂໍ້ມູນ. ໄດ້ -d ສະຫຼັບອາດຈະຖືກນໍາໃຊ້ເປັນ
ເລື້ອຍໆຕາມຄວາມຈໍາເປັນເພື່ອເປີດໃຊ້ຂໍ້ຄວາມທີ່ຕ້ອງການທັງຫມົດ. ຊື່​ສະ​ຫນັບ​ສະ​ຫນູນ​ແມ່ນ​
ຂອບເຂດ, eval_tree, ລະອຽດ, ແລະ synth2; ຊື່ອື່ນໃດຖືກລະເລີຍ.

-E Preprocess ແຫຼ່ງ Verilog, ແຕ່ຢ່າລວບລວມມັນ. ໄຟລ໌ຜົນຜະລິດແມ່ນ
ການປ້ອນຂໍ້ມູນ Verilog, ແຕ່ມີການລວມເອົາໄຟລ໌ແລະການອ້າງອີງມະຫາພາກຂະຫຍາຍ ແລະເອົາອອກ.
ນີ້ແມ່ນເປັນປະໂຫຍດ, ສໍາລັບການຍົກຕົວຢ່າງ, ເພື່ອ preprocess ແຫຼ່ງ Verilog ສໍາລັບການນໍາໃຊ້ໂດຍຜູ້ອື່ນ
ສັງລວມ.

-g1995|-g2001|-g2001-noconfig|-g2005
ເລືອກພາສາ Verilog ການຜະລິດ ສະຫນັບສະຫນູນໃນ compiler ໄດ້. ນີ້ເລືອກ
ລະຫວ່າງ IEEE 1364-1995, IEEE 1364-2001, ຫຼື IEEE 1364-2005. ປົກກະຕິ, Icarus Verilog
ເລີ່ມຕົ້ນເປັນພາສາທີ່ຮູ້ຈັກຫຼ້າສຸດ. ທຸງນີ້ມີປະໂຫຍດຫຼາຍທີ່ສຸດ
ເພື່ອຈໍາກັດພາສາກັບຊຸດທີ່ສະຫນັບສະຫນູນໂດຍເຄື່ອງມືຂອງລຸ້ນສະເພາະ, ສໍາລັບ
ຄວາມເຂົ້າກັນໄດ້ກັບເຄື່ອງມືອື່ນໆ.

-gverilog-ams|-gno-verilog-ams
ເປີດໃຊ້ຫຼືປິດການຮອງຮັບ (ຄ່າເລີ່ມຕົ້ນ) ສໍາລັບ Verilog-AMS. Verilog-AMS ໜ້ອຍຫຼາຍ
ການທໍາງານສະເພາະແມ່ນໄດ້ຮັບການສະຫນັບສະຫນູນໃນປັດຈຸບັນ.

- ລະບຸ|-gno ລະບຸ
ເປີດໃຊ້ຫຼືປິດການໃຊ້ງານ (ຄ່າເລີ່ມຕົ້ນ) ລະບຸການສະຫນັບສະຫນູນບລັອກ. ເມື່ອເປີດໃຊ້, ລະບຸບລັອກ
ລະ​ຫັດ​ແມ່ນ​ລະ​ອຽດ​. ເມື່ອປິດການໃຊ້ງານ, ລະບຸການບຼັອກຖືກວິເຄາະແຕ່ຖືກລະເລີຍ. ລະບຸ
ຕັນໂດຍທົ່ວໄປແມ່ນບໍ່ຈໍາເປັນສໍາລັບການຈໍາລອງ RTL, ແລະໃນຄວາມເປັນຈິງສາມາດເຮັດໃຫ້ເຈັບປວດ
ການປະຕິບັດການຈໍາລອງ. ຢ່າງໃດກໍ່ຕາມ, ການປິດການລະບຸບລັອກຈະຫຼຸດຜ່ອນຄວາມຖືກຕ້ອງ
ຂອງ simulations ເຕັມເວລາ.

-gstd-ລວມ|-gno-std-ລວມ
ເປີດໃຊ້ງານ (ຄ່າເລີ່ມຕົ້ນ) ຫຼືປິດການຊອກຫາການຕິດຕັ້ງມາດຕະຖານປະກອບມີ
ໄດເລກະທໍລີພາຍຫຼັງທີ່ຊັດເຈນອື່ນໆລວມເຖິງໄດເລກະທໍລີ. ມາດຕະຖານນີ້ປະກອບມີ
ໄດເລກະທໍລີເປັນບ່ອນສະດວກໃນການຕິດຕັ້ງໄຟລ໌ header ມາດຕະຖານທີ່ Verilog
ໂຄງ​ການ​ອາດ​ຈະ​ປະ​ກອບ​ມີ​.

-grelative - ລວມ​|-gno-relative-ລວມ
ເປີດໃຊ້ຫຼືປິດການໃຊ້ງານ (ຄ່າເລີ່ມຕົ້ນ) ການເພີ່ມໄດເລກະທໍລີໄຟລ໌ທ້ອງຖິ່ນໄປຫາຈຸດເລີ່ມຕົ້ນຂອງ
ປະກອບມີເສັ້ນທາງຄົ້ນຫາໄຟລ໌. ນີ້ອະນຸຍາດໃຫ້ໄຟລ໌ທີ່ຈະຖືກລວມເຂົ້າກັບ
ໄຟລ໌ປະຈຸບັນບໍ່ແມ່ນໄຟລ໌ທົ່ວໄປຫຼາຍພຽງແຕ່ພົບເຫັນຢູ່ໃນໄດເລກະທໍລີທີ່ເຮັດວຽກຫຼື
ໃນທີ່ລະບຸປະກອບມີເສັ້ນທາງການຊອກຫາໄຟລ໌.

-gxtypes|-gno-xtypes
ເປີດໃຊ້ (ຄ່າເລີ່ມຕົ້ນ) ຫຼືປິດການຮອງຮັບສໍາລັບປະເພດຂະຫຍາຍ. ເປີດໃຊ້ປະເພດຂະຫຍາຍ
ອະ​ນຸ​ຍາດ​ໃຫ້​ສໍາ​ລັບ​ປະ​ເພດ​ໃຫມ່​ທີ່​ໄດ້​ຮັບ​ການ​ສະ​ຫນັບ​ສະ​ຫນູນ​ໂດຍ Icarus Verilog ເປັນ​ການ​ຂະ​ຫຍາຍ​ນອກ​ເຫນືອ​ການ​
Verilog ພື້ນຖານ. ມັນອາດຈະມີຄວາມຈໍາເປັນທີ່ຈະປິດການທໍາງານປະເພດຂະຫຍາຍຖ້າລວບລວມລະຫັດ
ທີ່ຂັດແຍ້ງກັບຄໍາໃຫມ່ຈໍານວນຫນ້ອຍທີ່ໃຊ້ໃນການປະຕິບັດລະບົບປະເພດ.

-gio-range-error|-gno-io-range-error
ມາດຕະຖານຮຽກຮ້ອງໃຫ້ພອດ vectored ມີຂອບເຂດທີ່ກົງກັນສໍາລັບພອດຂອງມັນ
ການປະກາດເຊັ່ນດຽວກັນກັບການປະກາດສຸດທິ / ລົງທະບຽນໃດໆ. ມັນແມ່ນການປະຕິບັດທົ່ວໄປໃນ
ຜ່ານໄປພຽງແຕ່ລະບຸຂອບເຂດສໍາລັບການປະກາດສຸດທິ / ລົງທະບຽນແລະບາງເຄື່ອງມື
ຍັງອະນຸຍາດໃຫ້ນີ້. ຕາມຄ່າເລີ່ມຕົ້ນ, ບໍ່ກົງກັນໃດໆຈະຖືກລາຍງານເປັນຂໍ້ຜິດພາດ. ການນໍາໃຊ້
-gno-io-range-error ຈະຜະລິດຄໍາເຕືອນແທນທີ່ຈະເປັນຄວາມຜິດພາດທີ່ຮ້າຍແຮງສໍາລັບກໍລະນີ
ຂອງ vectored ສຸດທິ / ລົງທະບຽນແລະການປະກາດພອດ scalar.

-gstrict-ca-eval|-gno-strict-ca-eval
ມາດຕະຖານຮຽກຮ້ອງໃຫ້ຖ້າການປ້ອນຂໍ້ມູນເຂົ້າໃນການສະແດງຜົນການມອບໝາຍຢ່າງຕໍ່ເນື່ອງ
ການປ່ຽນແປງມູນຄ່າ, ການສະແດງຜົນທັງຫມົດໄດ້ຖືກປະເມີນຄືນໃຫມ່. ໂດຍຄ່າເລີ່ມຕົ້ນ, ພາກສ່ວນຂອງ
ການສະແດງອອກທີ່ບໍ່ຂຶ້ນກັບມູນຄ່າການປ້ອນຂໍ້ມູນທີ່ມີການປ່ຽນແປງບໍ່ໄດ້ຖືກປະເມີນຄືນ.
ຖ້າການສະແດງອອກປະກອບດ້ວຍການໂທຫາຫນ້າທີ່ທີ່ບໍ່ຂຶ້ນກັບມັນພຽງແຕ່
ມູນຄ່າການປ້ອນຂໍ້ມູນຫຼືຜົນກະທົບຂ້າງຄຽງ, ພຶດຕິກໍາຜົນໄດ້ຮັບຈະແຕກຕ່າງຈາກ
ທີ່​ຕ້ອງ​ການ​ໂດຍ​ມາດ​ຕະ​ຖານ​. ການນໍາໃຊ້ -gstrict-ca-eval ຈະບັງຄັບມາດຕະຖານ
ພຶດຕິກໍາທີ່ສອດຄ່ອງກັບ (ມີການສູນເສຍບາງຢ່າງໃນການປະຕິບັດ).

-Iລວມ
ຕື່ມຂໍ້ມູນໃສ່ ລວມ ບັນຊີລາຍຊື່ຂອງໄດເລກະທໍລີທີ່ຄົ້ນຫາ Verilog ປະກອບມີ
ແຟ້ມ. ທ -I switch ອາດ​ຈະ​ຖືກ​ນໍາ​ໃຊ້​ຫຼາຍ​ຄັ້ງ​ເພື່ອ​ລະ​ບຸ​ລະ​ບົບ​ຈໍາ​ນວນ​ຫນຶ່ງ​ເພື່ອ​
ຄົ້ນຫາ, ໄດເລກະທໍລີຖືກຄົ້ນຫາຕາມລໍາດັບທີ່ພວກເຂົາປາກົດຢູ່ໃນເສັ້ນຄໍາສັ່ງ.

-Mເສັ້ນທາງ ຂຽນເຂົ້າໄປໃນໄຟລ໌ທີ່ລະບຸໄວ້ໂດຍເສັ້ນທາງບັນຊີລາຍຊື່ຂອງໄຟລ໌ທີ່ປະກອບສ່ວນເຂົ້າໃນ
ການລວບລວມການອອກແບບ. ນີ້ປະກອບມີໄຟລ໌ທີ່ປະກອບດ້ວຍການລວມເອົາ
ຄໍາແນະນໍາແລະໄຟລ໌ທີ່ຖືກໂຫລດໂດຍອັດຕະໂນມັດໂດຍການສະຫນັບສະຫນູນຫ້ອງສະຫມຸດ. ຜົນຜະລິດ
ແມ່ນໜຶ່ງຊື່ໄຟລ໌ຕໍ່ແຖວ, ບໍ່ມີພື້ນທີ່ນຳໜ້າ ຫຼືຕໍ່ທ້າຍ.

-mໂມດູນ
ເພີ່ມໂມດູນນີ້ໃສ່ບັນຊີລາຍຊື່ຂອງໂມດູນ VPI ທີ່ຈະໂຫລດໂດຍການຈໍາລອງ. ຫຼາຍ
ໂມດູນສາມາດຖືກກໍານົດ, ແລະທັງຫມົດຈະຖືກໂຫລດ, ໃນຄໍາສັ່ງທີ່ກໍານົດໄວ້. ໄດ້
ໂມດູນລະບົບແມ່ນ implicit ແລະລວມຢູ່ສະເຫມີ. ຖ້າໄຟລ໌ຕາຕະລາງຫນ້າທີ່ລະບົບ
( .sft) ມີຢູ່ສໍາລັບໂມດູນທີ່ມັນຈະຖືກໂຫລດໂດຍອັດຕະໂນມັດ.

-Nເສັ້ນທາງ ອັນນີ້ຖືກໃຊ້ເພື່ອດີບັກ compiler ທີ່ຖືກຕ້ອງ. ຖິ້ມແບບຟອມ netlist ສຸດທ້າຍຂອງ
ອອກແບບໄຟລ໌ທີ່ລະບຸໄວ້. ຖ້າ​ບໍ່​ດັ່ງ​ນັ້ນ​ມັນ​ບໍ່​ມີ​ຜົນ​ກະ​ທົບ​ການ​ດໍາ​ເນີນ​ງານ​ຂອງ​
ສັງລວມ. ການຖິ້ມຂີ້ເຫຍື້ອເກີດຂຶ້ນຫຼັງຈາກການອອກແບບຢ່າງລະອຽດແລະເພີ່ມປະສິດທິພາບ.

-o ຊື່​ເອ​ກະ​ສານ
ວາງຜົນຜະລິດໃນໄຟລ໌ ຊື່​ເອ​ກະ​ສານ. ຖ້າບໍ່ມີການລະບຸຊື່ໄຟລ໌ຜົນຜະລິດ, ໄອກີໂລ
ໃຊ້ຊື່ເລີ່ມຕົ້ນ .

-pflag=value
ກຳນົດຄ່າໃຫ້ກັບທຸງສະເພາະເປົ້າໝາຍ. ໄດ້ -p ສະຫຼັບອາດຈະຖືກນໍາໃຊ້ເລື້ອຍໆເທົ່າທີ່
ມີຄວາມຈໍາເປັນເພື່ອກໍານົດທຸງທີ່ຕ້ອງການທັງຫມົດ. ທຸງທີ່ຖືກນໍາໃຊ້ແມ່ນຂຶ້ນກັບ
ເປົ້າໝາຍທີ່ຖືກເລືອກ, ແລະຖືກອະທິບາຍໄວ້ໃນເອກະສານສະເພາະເປົ້າໝາຍ. ທຸງ
ທີ່ບໍ່ໄດ້ໃຊ້ແມ່ນຖືກລະເລີຍ.

-S ສັງເຄາະ. ໂດຍປົກກະຕິ, ຖ້າເປົ້າຫມາຍສາມາດຍອມຮັບຄໍາອະທິບາຍພຶດຕິກໍາໄດ້
compiler ຈະອອກຈາກຂະບວນການໃນຮູບແບບພຶດຕິກໍາ. ໄດ້ -S ສະ​ຫຼັບ​ເຮັດ​ໃຫ້​ເກີດ​ການ​
compiler ເພື່ອປະຕິບັດການສັງເຄາະເຖິງແມ່ນວ່າມັນບໍ່ຈໍາເປັນສໍາລັບເປົ້າຫມາຍ. ຖ້າ
ປະເພດເປົ້າຫມາຍແມ່ນຮູບແບບ netlist, ໄດ້ -S switch ແມ່ນບໍ່ຈໍາເປັນແລະບໍ່ມີຜົນກະທົບ.

-s ໂມດູນສູງສຸດ
ລະບຸໂມດູນລະດັບເທິງເພື່ອລະອຽດ. Icarus Verilog ໂດຍຄ່າເລີ່ມຕົ້ນຈະເລືອກ
ໂມດູນທີ່ບໍ່ໄດ້ instantiated ໃນໂມດູນອື່ນໆ, ແຕ່ບາງຄັ້ງນັ້ນບໍ່ແມ່ນ
ພຽງພໍ, ຫຼື instantiates ໂມດູນຫຼາຍເກີນໄປ. ຖ້າຜູ້ໃຊ້ກໍານົດຫນຶ່ງຫຼືຫຼາຍກວ່ານັ້ນ
ໂມດູນຮາກກັບ -s ທຸງ, ຫຼັງຈາກນັ້ນພວກມັນຈະຖືກໃຊ້ເປັນໂມດູນຮາກແທນ.

-Tນາທີ|ພິມ|ສູງສຸດ
ໃຊ້ສະວິດນີ້ເພື່ອເລືອກນາທີ, ພິມ ຫຼືເວລາສູງສຸດຈາກ min:typ:max expressions.
ໂດຍປົກກະຕິ, compiler ພຽງແຕ່ໃຊ້ຄ່າພິມຈາກການສະແດງເຫຼົ່ານີ້
(ພິມ​ຄໍາ​ເຕືອນ​ສໍາ​ລັບ​ສິບ​ທໍາ​ອິດ​ທີ່​ມັນ​ພົບ​ເຫັນ​) ແຕ່​ສະ​ຫຼັບ​ນີ້​ຈະ​ບອກ​ໄດ້​
compiler ຢ່າງຊັດເຈນວ່າຈະໃຊ້ຄ່າໃດ. ນີ້ຈະສະກັດກັ້ນການເຕືອນໄພວ່າ
compiler ກໍາລັງເຮັດໃຫ້ທາງເລືອກ.

-tເປົ້າ​ຫມາຍ
ໃຊ້ສະວິດນີ້ເພື່ອລະບຸຮູບແບບຜົນຜະລິດເປົ້າຫມາຍ. ເບິ່ງ ເປົ້າາຍ ພາກ​ສ່ວນ​ຂ້າງ​ລຸ່ມ​ນີ້​
ສໍາລັບບັນຊີລາຍຊື່ຂອງຮູບແບບຜົນຜະລິດທີ່ຖືກຕ້ອງ.

-v ເປີດຂໍ້ຄວາມ verbose. ນີ້ຈະພິມຄໍາສັ່ງທີ່ຖືກປະຕິບັດກັບ
ປະຕິບັດການລວບລວມຕົວຈິງ, ພ້ອມກັບຂໍ້ມູນສະບັບຈາກຕ່າງໆ
ອົງປະກອບ, ເຊັ່ນດຽວກັນກັບສະບັບຂອງຜະລິດຕະພັນທັງຫມົດ. ເຈົ້າຈະສັງເກດເຫັນ
ວ່າເສັ້ນຄໍາສັ່ງປະກອບມີການອ້າງອີງເຖິງໄຟລ໌ຊົ່ວຄາວທີ່ສໍາຄັນທີ່ຜ່ານ
ຂໍ້​ມູນ​ທີ່​ເຫມາະ​ສົມ​ກັບ compiler​. ເພື່ອຮັກສາໄຟລ໌ນັ້ນຈາກການຖືກລຶບຢູ່ທີ່
ໃນຕອນທ້າຍຂອງຂະບວນການ, ໃຫ້ຊື່ໄຟລ໌ຂອງທ່ານເອງຢູ່ໃນຕົວແປສະພາບແວດລ້ອມ
IVERILOG_ICONFIG.

-V ພິມສະບັບຂອງ compiler, ແລະອອກ.

-Wລະດັບ ເປີດໃຊ້ຄຳເຕືອນປະເພດຕ່າງໆ. ເບິ່ງ ຄໍາເຕືອນ TYPES ພາກ​ສ່ວນ​ຂ້າງ​ລຸ່ມ​ນີ້​ສໍາ​ລັບ​ການ​
ລາຍລະອຽດຂອງກຸ່ມເຕືອນໄພທີ່ແຕກຕ່າງກັນ. ຖ້າຫຼາຍ -W ສະ​ຫຼັບ​ໄດ້​ຖືກ​ນໍາ​ໃຊ້​,
ທີ່ກໍານົດໄວ້ເຕືອນແມ່ນສະຫະພັນຂອງທຸກຊັ້ນຮຽນທີ່ຮ້ອງຂໍ.

-ylibdir
ຕື່ມຂໍ້ມູນໃສ່ໄດເລກະທໍລີໃສ່ເສັ້ນທາງຄົ້ນຫາໂມດູນຫ້ອງສະຫມຸດ. ເມື່ອ compiler ພົບ
ໂມດູນທີ່ບໍ່ໄດ້ກໍານົດ, ມັນເບິ່ງຢູ່ໃນໄດເລກະທໍລີເຫຼົ່ານີ້ສໍາລັບໄຟລ໌ທີ່ມີຊື່ທີ່ຖືກຕ້ອງ.

-Yបច្ច័យ
ຕື່ມການຕໍ່ທ້າຍໃສ່ລາຍຊື່ຂອງນາມສະກຸນທີ່ຍອມຮັບໄດ້ໃຊ້ເມື່ອຊອກຫາ a
ຫ້ອງສະຫມຸດສໍາລັບຈຸລັງ. ບັນຊີລາຍຊື່ເລີ່ມຕົ້ນເປັນລາຍການດຽວ .v.

ແບບ ຈຳ ລອງ ໜັງ ສື


Icarus Verilog compiler ສະຫນັບສະຫນູນຫ້ອງສະຫມຸດໂມດູນເປັນໄດເລກະທໍລີທີ່ມີ Verilog
ໄຟລ໌ແຫຼ່ງ. ໃນ​ລະ​ຫວ່າງ​ການ​ລະ​ອຽດ​, compiler ສັງ​ເກດ​ເຫັນ instantiation ຂອງ undefined ໄດ້​
ປະເພດໂມດູນ. ຖ້າຜູ້ໃຊ້ກໍານົດລາຍການຄົ້ນຫາຫ້ອງສະຫມຸດ, compiler ຈະຄົ້ນຫາ
ໄດເລກະທໍລີສໍາລັບໄຟລ໌ທີ່ມີຊື່ຂອງປະເພດໂມດູນທີ່ຂາດຫາຍໄປ. ຖ້າມັນພົບໄຟລ໌ດັ່ງກ່າວ,
ມັນໂຫລດມັນເປັນໄຟລ໌ແຫຼ່ງ Verilog, ພວກເຂົາພະຍາຍາມອີກເທື່ອຫນຶ່ງເພື່ອອະທິບາຍໂມດູນ.

ໄຟລ໌ໂມດູນຫ້ອງສະຫມຸດຄວນຈະມີພຽງແຕ່ໂມດູນດຽວ, ແຕ່ນີ້ບໍ່ແມ່ນຄວາມຕ້ອງການ.
ໂມດູນຫ້ອງສະຫມຸດອາດຈະອ້າງອີງເຖິງໂມດູນອື່ນໆໃນຫ້ອງສະຫມຸດຫຼືໃນການອອກແບບຕົ້ນຕໍ.

ເປົ້າາຍ


Icarus Verilog compiler ສະຫນັບສະຫນູນຄວາມຫລາກຫລາຍຂອງເປົ້າຫມາຍ, ສໍາລັບຈຸດປະສົງທີ່ແຕກຕ່າງກັນ, ແລະ
-t switch ຖືກນໍາໃຊ້ເພື່ອເລືອກເປົ້າຫມາຍທີ່ຕ້ອງການ.

null ເປົ້າໝາຍ null ເຮັດໃຫ້ບໍ່ມີລະຫັດຖືກສ້າງ. ມັນເປັນປະໂຫຍດສໍາລັບການກວດສອບ
syntax ຂອງແຫຼ່ງ Verilog.

vvp ນີ້ແມ່ນຄ່າເລີ່ມຕົ້ນ. ເປົ້າຫມາຍ vvp ສ້າງລະຫັດສໍາລັບ runtime vvp. ຜົນຜະລິດ
ເປັນໂຄງການທີ່ສົມບູນທີ່ຈໍາລອງການອອກແບບແຕ່ຕ້ອງໄດ້ຮັບການດໍາເນີນການໂດຍ vvp
ຄໍາສັ່ງ.

fpga ນີ້ແມ່ນເປົ້າຫມາຍການສັງເຄາະທີ່ສະຫນັບສະຫນູນຄວາມຫລາກຫລາຍຂອງອຸປະກອນ fpga, ສ່ວນໃຫຍ່ແມ່ນໂດຍ EDIF
ຮູບແບບຜົນຜະລິດ. ເຄື່ອງກໍາເນີດລະຫັດ Icarus Verilog fpga ສາມາດສ້າງສໍາເລັດ
ການອອກແບບຫຼື EDIF macro ທີ່ສາມາດນໍາເຂົ້າເຂົ້າໄປໃນການອອກແບບຂະຫນາດໃຫຍ່ໂດຍອື່ນໆ
ເຄື່ອງ​ມື. ໄດ້ fpga ເປົ້າຫມາຍຫມາຍເຖິງການສັງເຄາະ -S ທຸງ.

vhdl ເປົ້າຫມາຍນີ້ຜະລິດການແປພາສາ VHDL ຂອງ Verilog netlist. ຜົນຜະລິດແມ່ນ ກ
ໄຟລ໌ດຽວທີ່ມີຫົວໜ່ວຍ VHDL ທີ່ສອດຄ້ອງກັບໂມດູນໃນ Verilog
ລະຫັດແຫຼ່ງ. ກະລຸນາຮັບຊາບວ່າພຽງແຕ່ຊຸດຍ່ອຍຂອງພາສາ Verilog ເທົ່ານັ້ນທີ່ຮອງຮັບ. ເບິ່ງ
wiki ສໍາລັບຂໍ້ມູນເພີ່ມເຕີມ.

ຄໍາເຕືອນ TYPES


ເຫຼົ່ານີ້ແມ່ນປະເພດຂອງຄໍາເຕືອນທີ່ສາມາດເລືອກໄດ້ໂດຍ -W ສະຫຼັບ. ເຕືອນໄພທັງໝົດ
ປະ​ເພດ (ນອກ​ຈາກ​ນັ້ນ​ ທັງຫມົດ) ຍັງສາມາດຖືກນໍາຫນ້າດ້ວຍ ບໍ່- ເພື່ອປິດການເຕືອນນັ້ນ. ນີ້​ແມ່ນ
ເປັນປະໂຫຍດທີ່ສຸດຫຼັງຈາກ a - ຝາ ການໂຕ້ຖຽງເພື່ອສະກັດກັ້ນປະເພດການເຕືອນໄພທີ່ໂດດດ່ຽວ.

ທັງຫມົດ ນີ້​ເຮັດ​ໃຫ້​ການ implicit, portbind, ເລືອກ​ໄລ​ຍະ, timescale, ແລະ
ປະເພດການເຕືອນໄພ sensitivity-entire-array.

implicit
ນີ້ເຮັດໃຫ້ການເຕືອນໄພສໍາລັບການສ້າງການປະກາດ implicit. ຕົວຢ່າງ, ຖ້າ ກ
ສາຍ scalar X ຖືກໃຊ້ແຕ່ບໍ່ໄດ້ປະກາດໃນແຫຼ່ງ Verilog, ນີ້ຈະພິມ a
ການ​ເຕືອນ​ໄພ​ໃນ​ການ​ນໍາ​ໃຊ້​ຄັ້ງ​ທໍາ​ອິດ​ຂອງ​ຕົນ​.

portbind
ນີ້ເຮັດໃຫ້ການເຕືອນໄພສໍາລັບພອດຂອງ instantiations ໂມດູນທີ່ບໍ່ໄດ້ເຊື່ອມຕໍ່
ແຕ່ອາດຈະເປັນ. dangling input ports, ສໍາລັບການຍົກຕົວຢ່າງ, ຈະສ້າງ a
ຄຳ ເຕືອນ.

ເລືອກໄລຍະ
ນີ້ເຮັດໃຫ້ການເຕືອນໄພສໍາລັບການຄົງທີ່ອອກຈາກການຄັດເລືອກ. ນີ້ປະກອບມີບາງສ່ວນຫຼື
ຢ່າງສົມບູນອອກຈາກການຜູກມັດເລືອກເຊັ່ນດຽວກັນກັບການເລືອກທີ່ມີ 'bx ຫຼື 'bz ໃນ
ດັດຊະນີ.

ໄລຍະເວລາ
ນີ້ເຮັດໃຫ້ການເຕືອນໄພສໍາລັບການນໍາໃຊ້ບໍ່ສອດຄ່ອງຂອງຄໍາສັ່ງຂະຫນາດເວລາ. ມັນກວດພົບ
ຖ້າບາງໂມດູນບໍ່ມີ timescale, ຫຼືຖ້າໂມດູນໄດ້ຮັບ timescale ຈາກອື່ນ
ໄຟລ໌. ທັງສອງອາດຈະຫມາຍຄວາມວ່າເວລາບໍ່ສອດຄ່ອງ, ແລະການຈໍາລອງເວລາ
ສາມາດສັບສົນແລະຂຶ້ນກັບຄໍາສັ່ງລວບລວມ.

infloop ນີ້ເຮັດໃຫ້ການເຕືອນໄພສໍາລັບຄໍາຖະແຫຼງທີ່ສະເຫມີທີ່ອາດຈະມີ runtime ບໍ່ມີຂອບເຂດ
(ມີເສັ້ນທາງທີ່ບໍ່ມີການຊັກຊ້າ ຫຼືສູນ). ການເຕືອນໄພປະເພດນີ້ບໍ່ໄດ້ລວມຢູ່ໃນ - ຝາ
ແລະເພາະສະນັ້ນຈຶ່ງບໍ່ມີ ບໍ່- ຕົວແປ. ຂໍ້​ຄວາມ​ຜິດ​ພາດ​ຮ້າຍ​ແຮງ​ຈະ​ເປັນ​ສະ​ເຫມີ​ໄປ​
ພິມອອກໃນເວລາທີ່ compiler ສາມາດກໍານົດວ່າແນ່ນອນຈະບໍ່ມີຂອບເຂດ
loop (ເສັ້ນທາງທັງຫມົດບໍ່ມີການຊັກຊ້າຫຼືສູນ).

ໃນເວລາທີ່ທ່ານສົງໃສວ່າຄໍາຖະແຫຼງທີ່ສະເຫມີກໍາລັງຜະລິດ runtime loop infinite ໃຊ້ມັນ
ທຸງເພື່ອຊອກຫາຄໍາຖະແຫຼງທີ່ສະເຫມີທີ່ຈໍາເປັນຕ້ອງມີການຢັ້ງຢືນເຫດຜົນຂອງພວກເຂົາ. ມັນ​ແມ່ນ
ຄາດວ່າຫຼາຍຄໍາເຕືອນຈະເປັນບວກທີ່ບໍ່ຖືກຕ້ອງ, ນັບຕັ້ງແຕ່ລະຫັດປະຕິບັດ
ຄ່າຂອງຕົວແປທັງໝົດ ແລະສັນຍານເປັນຕົວກໍານົດ.

sensitivity-all-vector
ນີ້ເຮັດໃຫ້ການເຕືອນສໍາລັບເວລາທີ່ສ່ວນຫນຶ່ງເລືອກພາຍໃນຄໍາສັ່ງ "ສະເຫມີ @*".
ສົ່ງຜົນໃຫ້ vector ທັງໝົດຖືກເພີ່ມໃສ່ບັນຊີລາຍຊື່ຄວາມອ່ອນໄຫວ implicit.
ເຖິງແມ່ນວ່າພຶດຕິກໍານີ້ຖືກກໍານົດໂດຍມາດຕະຖານ IEEE, ມັນບໍ່ແມ່ນສິ່ງທີ່ອາດຈະ
ຄາດວ່າຈະມີແລະສາມາດມີຜົນກະທົບປະສິດທິພາບຖ້າຫາກວ່າ vector ຂະຫນາດໃຫຍ່.

sensitivity-all-array
ນີ້ເຮັດໃຫ້ການເຕືອນສໍາລັບເວລາທີ່ເລືອກຄໍາທີ່ຢູ່ໃນຄໍາຖະແຫຼງ "ສະເຫມີ @*".
ສົ່ງຜົນໃຫ້ array ທັງໝົດຖືກເພີ່ມໃສ່ລາຍຊື່ຄວາມອ່ອນໄຫວ implicit. ເຖິງແມ່ນວ່າ
ພຶດຕິກໍານີ້ແມ່ນຖືກກໍານົດໂດຍມາດຕະຖານ IEEE, ມັນບໍ່ແມ່ນສິ່ງທີ່ອາດຈະເປັນ
ຄາດຫວັງແລະສາມາດມີຜົນກະທົບການປະຕິບັດຖ້າຫາກວ່າ array ມີຂະຫນາດໃຫຍ່.

SYSTEM ຫນ້າທີ່ເຮັດວຽກ ຕາຕະລາງ ເອກະສານ


ຖ້າຊື່ໄຟລ໌ຕົ້ນສະບັບເປັນ a .sft suffix, ຫຼັງຈາກນັ້ນມັນຖືກປະຕິບັດເປັນຕາຕະລາງການເຮັດວຽກຂອງລະບົບ
ໄຟລ໌. ໄຟລ໌ຕາຕະລາງການເຮັດວຽກຂອງລະບົບແມ່ນໃຊ້ເພື່ອອະທິບາຍໃຫ້ compiler ປະເພດຂອງການກັບຄືນ
ສໍາ​ລັບ​ການ​ທໍາ​ງານ​ຂອງ​ລະ​ບົບ​. ນີ້ແມ່ນມີຄວາມຈໍາເປັນເພາະວ່າ compiler ຕ້ອງການຂໍ້ມູນນີ້ເພື່ອ
ການສະແດງອອກອັນລະອຽດທີ່ມີຟັງຊັນຂອງລະບົບເຫຼົ່ານີ້, ແຕ່ບໍ່ສາມາດເອີ້ນໃຊ້ sizetf ໄດ້
ປະຕິບັດຫນ້ານັບຕັ້ງແຕ່ມັນບໍ່ມີເວລາແລ່ນ.

ຮູບແບບຂອງຕາຕະລາງແມ່ນ ASCII, ຫນຶ່ງຟັງຊັນຕໍ່ແຖວ. ເສັ້ນຫວ່າງຖືກລະເລີຍ, ແລະ
ສາຍທີ່ເລີ່ມຕົ້ນດ້ວຍ '#' ຕົວອັກສອນແມ່ນເສັ້ນສະແດງຄວາມຄິດເຫັນ. ແຕ່ລະແຖວທີ່ບໍ່ມີຄໍາເຫັນເລີ່ມຕົ້ນ
ກັບຊື່ຟັງຊັນ, ຫຼັງຈາກນັ້ນປະເພດ vpi (ie vpiSysFuncReal). ປະເພດຕໍ່ໄປນີ້ແມ່ນ
ສະຫນັບສະຫນູນ:

vpiSysFuncReal
ຟັງຊັນສົ່ງຄືນຄ່າທີ່ແທ້ຈິງ/ເວລາຈິງ.

vpiSysFuncInt
ຟັງຊັນສົ່ງຄືນຈໍານວນເຕັມ.

vpiSysFuncSized
ຟັງຊັນສົ່ງຄືນ vector ທີ່ມີຄວາມກວ້າງທີ່ລະບຸ, ແລະຖືກເຊັນຫຼືບໍ່ໄດ້ເຊັນ
ອີງຕາມທຸງຊາດ.

ຄໍາສັ່ງ ເອກະສານ


ໄຟລ໌ຄໍາສັ່ງອະນຸຍາດໃຫ້ຜູ້ໃຊ້ສາມາດໃສ່ຊື່ໄຟລ໌ແຫຼ່ງແລະເສັ້ນຄໍາສັ່ງທີ່ແນ່ນອນ
ປ່ຽນເປັນໄຟລ໌ຂໍ້ຄວາມແທນທີ່ຈະຢູ່ໃນແຖວຄໍາສັ່ງຍາວ. ໄຟລ໌ຄໍາສັ່ງສາມາດປະກອບມີ C
ຫຼືຄຳເຫັນແບບ C++, ເຊັ່ນດຽວກັນກັບ # ຄຳເຫັນ, ຖ້າ # ເລີ່ມຕົ້ນແຖວ.

ເອກະສານ ຊື່
ຊື່ໄຟລ໌ທີ່ງ່າຍດາຍຫຼືເສັ້ນທາງໄຟລ໌ຖືກນໍາມາເປັນຊື່ຂອງໄຟລ໌ແຫຼ່ງ Verilog.
ເສັ້ນທາງເລີ່ມຕົ້ນດ້ວຍຕົວອັກສອນທີ່ບໍ່ແມ່ນພື້ນທີ່ສີຂາວທໍາອິດ. ຕົວແປແມ່ນ
ທົດແທນໃນຊື່ໄຟລ໌.

-c cmdfile -f cmdfile
A -c or -f token prefixes ໄຟລ໌ຄໍາສັ່ງ, ຄືກັນກັບມັນເຮັດຢູ່ໃນຄໍາສັ່ງ
ສາຍ. cmdfile ອາດຈະຢູ່ໃນແຖວດຽວກັນຫຼືແຖວຕໍ່ໄປທີ່ບໍ່ແມ່ນຄໍາເຫັນ.

-y libdir
A -y token prefixes ໄດເລກະທໍລີຫ້ອງສະຫມຸດໃນໄຟລ໌ຄໍາສັ່ງ, ຄືກັນກັບມັນ
ຢູ່ໃນເສັ້ນຄໍາສັ່ງ. ຕົວກໍານົດການກັບ -y ທຸງອາດຈະຢູ່ໃນເສັ້ນດຽວກັນຫຼື
ແຖວຕໍ່ໄປທີ່ບໍ່ແມ່ນຄໍາເຫັນ.

ຕົວແປໃນ libdir ຖືກປ່ຽນແທນ.

+incdir+ລວມ
ໄດ້ +incdir+ token ໃນໄຟລ໌ຄໍາສັ່ງໃຫ້ໄດເລກະທໍລີເພື່ອຊອກຫາໄຟລ໌ລວມ
ໃນຫຼາຍວິທີດຽວກັນນັ້ນ -I ທຸງເຮັດວຽກຢູ່ໃນເສັ້ນຄໍາສັ່ງ. ຄວາມແຕກຕ່າງແມ່ນ
ຫຼາຍນັ້ນ + ລວມ​ທັງ ໄດເລກະທໍລີແມ່ນຕົວກໍານົດທີ່ຖືກຕ້ອງກັບອັນດຽວ +incdir+
token, ເຖິງແມ່ນວ່າທ່ານອາດຈະມີຫຼາຍ +incdir+ ສາຍ.

ຕົວແປໃນ ລວມ ຖືກປ່ຽນແທນ.

+libext+ext
ໄດ້ +libext token ໃນຄໍາສັ່ງໄຟລ໌ຫ້າສ່ວນຂະຫຍາຍໄຟລ໌ທີ່ຈະພະຍາຍາມໃນເວລາທີ່ຊອກຫາ a
ໄຟລ​໌​ຫ້ອງ​ສະ​ຫມຸດ​. ນີ້ແມ່ນເປັນປະໂຫຍດໂດຍສົມທົບກັບ -y ທຸງເພື່ອລາຍຊື່ຄຳຕໍ່ທ້າຍທີ່ຈະລອງ
ໃນ​ລະ​ບົບ​ຂອງ​ແຕ່​ລະ​ກ່ອນ​ທີ່​ຈະ​ໄປ​ຍັງ​ລະ​ບົບ​ຫ້ອງ​ສະ​ຫມຸດ​ຕໍ່​ໄປ​.

+libdir+dir
ນີ້ແມ່ນວິທີອື່ນເພື່ອລະບຸລາຍຊື່ຫ້ອງສະໝຸດ. ເບິ່ງທຸງ -y.

+libdir-nocase+dir
ນີ້ແມ່ນຄ້າຍຄື + libdir ຖະແຫຼງການ, ແຕ່ຊື່ໄຟລ໌ພາຍໃນໄດເລກະທໍລີໄດ້ປະກາດ
ນີ້ແມ່ນ case insensitive. ຊື່ໂມດູນທີ່ຂາດຫາຍໄປໃນການຄົ້ນຫາບໍ່ຈໍາເປັນຕ້ອງກົງກັບ
ກໍລະນີຊື່ໄຟລ໌, ຕາບໃດທີ່ຕົວອັກສອນຖືກຕ້ອງ. ຕົວຢ່າງ, "foo" ກົງກັນ
"Foo.v" ແຕ່ບໍ່ແມ່ນ "bar.v".

+ນິຍາມ+NAME=ມູນຄ່າ
ໄດ້ +ນິຍາມ+ token ແມ່ນຄືກັນກັບ -D ທາງເລືອກໃນແຖວຄໍາສັ່ງ. ມູນຄ່າ
ສ່ວນຫນຶ່ງຂອງ token ແມ່ນທາງເລືອກ.

+ຂະໜາດເວລາ+ມູນຄ່າ
ໄດ້ +ຂະໜາດເວລາ+ token ຖືກນໍາໃຊ້ເພື່ອກໍານົດໄລຍະເວລາເລີ່ມຕົ້ນສໍາລັບການຈໍາລອງ.
ນີ້​ແມ່ນ​ຫົວ​ຫນ່ວຍ​ທີ່​ໃຊ້​ເວ​ລາ​ແລະ​ຄວາມ​ແມ່ນ​ຍໍາ​ກ່ອນ​ທີ່​ຈະ "ຄໍາ​ສັ່ງ​ຂະ​ຫນາດ​ເວ​ລາ​ຫຼື​ຫຼັງ​ຈາກ a
`ຣີເຊັດຄຳສັ່ງ. ຄ່າເລີ່ມຕົ້ນແມ່ນ 1s/1ວິ.

+ຊື່ໄຟລ໌ topper
token ນີ້ເຮັດໃຫ້ຊື່ໄຟລ໌ຫຼັງຈາກນັ້ນໃນໄຟລ໌ຄໍາສັ່ງຖືກແປເປັນ
ຕົວພິມໃຫຍ່. ນີ້ຊ່ວຍໃນສະຖານະການທີ່ໄດເລກະທໍລີໄດ້ຜ່ານ DOS
ເຄື່ອງ, ແລະໃນຂະບວນການຊື່ໄຟລ໌ກາຍເປັນ munged.

+ຊື່ໄຟລ໌ລຸ່ມສຸດ
ນີ້ແມ່ນຄ້າຍຄືກັນກັບ +ຊື່ໄຟລ໌ topper hack ໄດ້ອະທິບາຍຂ້າງເທິງ.

+ຈຳນວນເຕັມຄວາມກວ້າງ+ມູນຄ່າ
ນີ້ອະນຸຍາດໃຫ້ນັກຂຽນໂປລແກລມເລືອກຄວາມກວ້າງສໍາລັບຕົວແປຈໍານວນເຕັມໃນ
ແຫຼ່ງ Verilog. ຄ່າເລີ່ມຕົ້ນແມ່ນ 32, ຄ່າສາມາດເປັນຄ່າຈໍານວນເຕັມທີ່ຕ້ອງການ.

ຄວາມຮັບຜິດຊອບ IN ຄໍາສັ່ງ ເອກະສານ


ໃນບາງກໍລະນີ, iverilog ສະຫນັບສະຫນູນຕົວແປໃນໄຟລ໌ຄໍາສັ່ງ. ເຫຼົ່ານີ້ແມ່ນສາຍຂອງ
ແບບຟອມ "$(varname)" ຫຼື "${varname}", ບ່ອນທີ່ varname ແມ່ນຊື່ຂອງຕົວແປສະພາບແວດລ້ອມ
ອ່ານ. ສະຕຣິງທັງໝົດຖືກແທນທີ່ດ້ວຍເນື້ອໃນຂອງຕົວແປນັ້ນ. ຕົວແປແມ່ນ
ແທນທີ່ພຽງແຕ່ໃນບໍລິບົດທີ່ສະຫນັບສະຫນູນພວກເຂົາຢ່າງຊັດເຈນ, ລວມທັງໄຟລ໌ແລະໄດເລກະທໍລີ
ເຊືອກ.

ຄ່າຕົວແປມາຈາກສະພາບແວດລ້ອມຂອງລະບົບປະຕິບັດການ, ແລະບໍ່ແມ່ນມາຈາກຕົວປະມວນຜົນກ່ອນ
ກໍານົດບ່ອນອື່ນໃນໄຟລ໌ຫຼືເສັ້ນຄໍາສັ່ງ.

ກຳນົດໄວ້ລ່ວງໜ້າ ມາໂຄຣ


macro ຕໍ່ໄປນີ້ແມ່ນຖືກກໍານົດໄວ້ລ່ວງຫນ້າໂດຍ compiler:

__ICARUS__ = 1
ນີ້ຖືກກໍານົດສະເຫມີໃນເວລາທີ່ລວບລວມກັບ Icarus Verilog.

__VAMS_ENABLE__ = 1
ອັນນີ້ຖືກກໍານົດຖ້າ Verilog-AMS ຖືກເປີດໃຊ້.

ຕົວຢ່າງ


ຕົວຢ່າງເຫຼົ່ານີ້ສົມມຸດວ່າທ່ານມີໄຟລ໌ແຫຼ່ງ Verilog ທີ່ເອີ້ນວ່າ hello.v ໃນປະຈຸບັນ
ລະບົບ

ເພື່ອລວບລວມ hello.v ໄປຫາໄຟລ໌ທີ່ສາມາດປະຕິບັດໄດ້ທີ່ເອີ້ນວ່າ a.out:

iverilog ສະບາຍດີ.v

ເພື່ອລວບລວມ hello.v ເປັນໄຟລ໌ທີ່ສາມາດປະຕິບັດໄດ້ທີ່ເອີ້ນວ່າ hello:

iverilog -o ສະບາຍດີ hello.v

ເພື່ອລວບລວມແລະດໍາເນີນການຢ່າງຊັດເຈນໂດຍໃຊ້ vvp runtime:

iverilog -ohello.vvp -tvvp ສະບາຍດີ.v

ໃຊ້ iverilog ອອນໄລນ໌ໂດຍໃຊ້ບໍລິການ onworks.net


ເຊີບເວີ ແລະສະຖານີເຮັດວຽກຟຣີ

ດາວໂຫຼດແອັບ Windows ແລະ Linux

Linux ຄຳ ສັ່ງ

Ad