ഇംഗ്ലീഷ്ഫ്രഞ്ച്സ്പാനിഷ്

Ad


OnWorks ഫെവിക്കോൺ

h8300-hitachi-coff-as - ക്ലൗഡിൽ ഓൺലൈനിൽ

ഉബുണ്ടു ഓൺലൈൻ, ഫെഡോറ ഓൺലൈൻ, വിൻഡോസ് ഓൺലൈൻ എമുലേറ്റർ അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിവയിലൂടെ OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് ദാതാവിൽ h8300-hitachi-coff-ആയി പ്രവർത്തിപ്പിക്കുക

Ubuntu Online, Fedora Online, Windows online emulator അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിങ്ങനെയുള്ള ഞങ്ങളുടെ ഒന്നിലധികം സൗജന്യ ഓൺലൈൻ വർക്ക്‌സ്റ്റേഷനുകളിലൊന്ന് ഉപയോഗിച്ച് OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് ദാതാവിൽ പ്രവർത്തിപ്പിക്കാൻ കഴിയുന്ന h8300-hitachi-coff-as എന്ന കമാൻഡാണിത്.

പട്ടിക:

NAME


AS - പോർട്ടബിൾ ഗ്നു അസംബ്ലർ.

സിനോപ്സിസ്


ആയി [-a[cdhlns[=]ഫയല്]] [--ഏകാന്തരക്രമത്തിൽ] [-D]
[--defsym സിം=Val] [-f] [-g] [--gstabs] [--gstabs+]
[--gdwarf-2] [--സഹായിക്കൂ] [-I മുതലാളി] [-J] [-K] [-L]
[--listing-lhs-width=NUMBER] [--listing-lhs-width2=NUMBER]
[--listing-rhs-width=NUMBER] [--listing-cont-lines=NUMBER]
[--പ്രാദേശികരെ സൂക്ഷിക്കുക] [-o objfile] [-R] [--സ്ഥിതിവിവരക്കണക്കുകൾ] [-v]
[-പതിപ്പ്] [--പതിപ്പ്] [-W] [--മുന്നറിയിപ്പ്] [--മാരകമായ മുന്നറിയിപ്പ്]
[-w] [-x] [-Z] [--ലക്ഷ്യം-സഹായം] [ലക്ഷ്യം-ഓപ്ഷനുകൾ]
[--ഫയലുകൾ ...]

ടാർഗെറ്റ് ആൽഫ ഓപ്ഷനുകൾ:
[-mസിപിയു]
[-mdebug-no-mdebug]
[-ശാന്തമാകൂ] [-g] [-Gവലുപ്പം]
[-F] [-32 ചേർക്കുക]

ടാർഗെറ്റ് ARC ഓപ്ഷനുകൾ:
[-മാർക്[5⎪6⎪7⎪8]]
[-ഇ.ബി-ഇഎൽ]

ടാർഗെറ്റ് കൈക്ക് ഓപ്ഷനുകൾ:
[-എംസിപിയു=പ്രോസസർ[+വിപുലീകരണം...]]
[- മാർച്ച്=വാസ്തുവിദ്യ[+വിപുലീകരണം...]]
[-mfpu=ഫ്ലോട്ടിംഗ് പോയിന്റ് ഫോർമാറ്റ്]
[-mfloat-abi=അബി]
[-മീബി=Ver]
[-മുമ്പ്]
[-ഇ.ബി-ഇഎൽ]
[-mapcs-32-mapcs-26-mapcs-float
-mapcs-reentrant]
[-mthumb-ഇന്റർവർക്ക്] [-k]

ടാർഗെറ്റ് ച്രിസ് ഓപ്ഷനുകൾ:
[--അണ്ടർസ്കോർ--അടിവരയില്ല]
[--ചിത്രം] [-N]
[--എമുലേഷൻ=ക്രൈസ്‌ലെഫ്--എമുലേഷൻ=crisaout]
[--മാർച്ച്=v0_v10--മാർച്ച്=v10--മാർച്ച്=v32--മാർച്ച്=common_v10_v32]

ടാർഗെറ്റ് ഡി 10 വി ഓപ്ഷനുകൾ:
[-O]

ടാർഗെറ്റ് ഡി 30 വി ഓപ്ഷനുകൾ:
[-O-n-N]

ടാർഗെറ്റ് i386 ഓപ്ഷനുകൾ:
[--32--64] [-n]

ടാർഗെറ്റ് i960 ഓപ്ഷനുകൾ:
[-എസിഎ-ACA_A-എ.സി.ബി-എ.സി.സി-എ.കെ.എ-എ.കെ.ബി
-എ.കെ.സി-എഎംസി]
[-b] [-വിശ്രമമില്ല]

ടാർഗെറ്റ് IA-64 ഓപ്ഷനുകൾ:
[-mconstant-gp-mauto-ചിത്രം]
[-milp32-milp64-mlp64-mp64]
[-mlemba]
[-munwind-check=മുന്നറിയിപ്പ്-munwind-check=പിശക്]
[-mhint.b=ok-mhint.b=മുന്നറിയിപ്പ്-mhint.b=error]
[-x-xവ്യക്തം] [-xauto] [-xdebug]

ടാർഗെറ്റ് IP2K ഓപ്ഷനുകൾ:
[-mip2022-mip2022ext]

ടാർഗെറ്റ് M32R ഓപ്ഷനുകൾ:
[--m32rx--[no-]മുന്നറിയിപ്പ്-വ്യക്തമായ-സമാന്തര-സംഘർഷങ്ങൾ
--W[n]p]

ടാർഗെറ്റ് M680X0 ഓപ്ഷനുകൾ:
[-l] [-m68000-m68010-m68020⎪...]

ടാർഗെറ്റ് M68HC11 ഓപ്ഷനുകൾ:
[-m68hc11-m68hc12-m68hcs12]
[-msshort-നീല]
[-എംഷോർട്ട്-ഇരട്ട-mlong-ഇരട്ട]
[--ശക്തി-നീണ്ട ശാഖകൾ] [--ഹ്രസ്വ ശാഖകൾ]
[--സ്ട്രിക്റ്റ്-ഡയറക്ട്-മോഡ്] [--print-insn-സിന്റാക്സ്]
[--print-opcodes] [--ജനറേറ്റ്-ഉദാഹരണം]

ടാർഗെറ്റ് MCORE ഓപ്ഷനുകൾ:
[-jsri2bsr] [-സിഫിൽറ്റർ] [-ശാന്തമാകൂ]
[-mcpu=[210⎪340]]

ടാർഗെറ്റ് എംഐപിഎസ് ഓപ്ഷനുകൾ:
[-nocpp] [-ഇഎൽ] [-ഇ.ബി] [-O[ഒപ്റ്റിമൈസേഷൻ ലെവൽ]]
[-g[ഡീബഗ് ലെവൽ]] [-G സംഖ്യ] [-കെ.പി.ഐ.സി] [-കോൾ_ഷെയർ ചെയ്തു]
[-പങ്കിടാത്തത്] [-xgot]
[-മാബി=എ.ബി.ഐ.] [-32] [-n32] [-64] [-mfp32] [-mgp32]
[- മാർച്ച്=സിപിയു] [-mtune=സിപിയു] [-mips1] [-mips2]
[-mips3] [-mips4] [-mips5] [-mips32] [-mips32r2]
[-mips64] [-mips64r2]
[-നിർമ്മാണം-ഫ്ലോട്ടുകൾ] [-നോ-കൺസ്ട്രക്റ്റ്-ഫ്ലോട്ടുകൾ]
[- കെണി] [- ഇടവേളയില്ല] [- ബ്രേക്ക്] [-കുടുക്കില്ല]
[-mfix7000] [-mno-fix7000]
[-mips16] [-no-mips16]
[-mips3d] [-no-mips3d]
[-mdmx] [-no-mdmx]
[-mdebug] [-no-mdebug]
[-mpdr] [-mno-pdr]

ടാർഗെറ്റ് MMIX ഓപ്ഷനുകൾ:
[--ഫിക്സഡ്-സ്പെഷ്യൽ-രജിസ്റ്റർ-പേരുകൾ] [--ആഗോളവൽക്കരിക്കുക-ചിഹ്നങ്ങൾ]
[--gnu-വാക്യഘടന] [--ശാന്തമാകൂ] [--no-predefined-symbols]
[--നോ-വികസിപ്പിക്കരുത്] [--നോ-ലയനം-ഗ്രെഗ്സ്] [-x]
[--linker-allocated-gregs]

ടാർഗെറ്റ് പി ഡി പി 11 ഓപ്ഷനുകൾ:
[-എംപിക്-mno-pic] [-മാൾ] [-mno-വിപുലീകരണങ്ങൾ]
[-mവിപുലീകരണം-mno-വിപുലീകരണം]
[-mസിപിയു] [-mമെഷീൻ]

ടാർഗെറ്റ് പിക്കോജാവ ഓപ്ഷനുകൾ:
[-mb-മെ]

ടാർഗെറ്റ് പവർപിസി ഓപ്ഷനുകൾ:
[-mpwrx-mpwr2-mpwr-m601-എംപിപിസി-mppc32-m603-m604
-m403-m405-mppc64-m620-mppc64ബ്രിഡ്ജ്-എംബുക്ക്
-mbooke32-mbooke64]
[-എംകോം- നിരവധി-മാൾട്ടിവെക്] [- അംഗം]
[- mregnames-mno-regnnames]
[- mrelocatable-mrelocatable-lib]
[- ചെറിയ-mlittle-endian-മ്പിഗ്-mbig-endian]
[- സോളാരിസ്-mno-solaris]

ടാർഗെറ്റ് സ്പാർക്ക് ഓപ്ഷനുകൾ:
[-Av6-Av7-Av8-അസ്പാർക്ലെറ്റ്-അസ്പാർക്ലൈറ്റ്
-Av8plus-Av8plusa-Av9-Av9a]
[-xarch=v8plus-xarch=v8plusa] [-ബമ്പ്]
[-32-64]

ടാർഗെറ്റ് TIC54X ഓപ്ഷനുകൾ:
[-mcpu=54[123589]-mcpu=54[56]lp] [-mfar-mode-എംഎഫ്]
[-മെറേഴ്സ്-ടു-ഫയൽ -മെ ]

ടാർഗെറ്റ് എക്സ്റ്റെൻസ ഓപ്ഷനുകൾ:
[--[no-]text-section-literals] [--[no-]കേവല-അക്ഷരങ്ങൾ]
[--[no-]ടാർഗെറ്റ്-അലൈൻ] [--[നോ-]ലോംഗ് കോളുകൾ]
[--[no-]പരിവർത്തനം]
[--വിഭാഗം പുനർനാമകരണം ചെയ്യുക പഴയ പേര്=പുതിയ പേര്]

വിവരണം


ഗ്നു as ശരിക്കും അസംബ്ലർമാരുടെ ഒരു കുടുംബമാണ്. നിങ്ങൾ ഗ്നു അസംബ്ലർ ഉപയോഗിക്കുകയാണെങ്കിൽ (അല്ലെങ്കിൽ ഉപയോഗിച്ചിട്ടുണ്ടെങ്കിൽ).
ഒരു ആർക്കിടെക്ചർ, നിങ്ങൾ മറ്റൊന്നിൽ ഉപയോഗിക്കുമ്പോൾ സമാനമായ അന്തരീക്ഷം കണ്ടെത്തണം
വാസ്തുവിദ്യ. ഒബ്ജക്റ്റ് ഫയൽ ഉൾപ്പെടെ, ഓരോ പതിപ്പിനും മറ്റുള്ളവയുമായി വളരെ സാമ്യമുണ്ട്
ഫോർമാറ്റുകൾ, മിക്ക അസംബ്ലർ നിർദ്ദേശങ്ങൾ (പലപ്പോഴും വിളിക്കപ്പെടുന്നു വ്യാജ-ഓപ്‌സ്) കൂടാതെ അസംബ്ലർ വാക്യഘടനയും.

as GNU C കംപൈലർ "gcc" യുടെ ഔട്ട്‌പുട്ട് കൂട്ടിച്ചേർക്കാനാണ് പ്രാഥമികമായി ഉദ്ദേശിക്കുന്നത്.
ലിങ്കർ "ld". എന്നിരുന്നാലും, ഞങ്ങൾ ഉണ്ടാക്കാൻ ശ്രമിച്ചു as എല്ലാം ശരിയായി കൂട്ടിച്ചേർക്കുക
അതേ യന്ത്രത്തിനായുള്ള മറ്റ് അസംബ്ലറുകൾ കൂട്ടിച്ചേർക്കും. ഏതെങ്കിലും ഒഴിവാക്കലുകൾ രേഖപ്പെടുത്തിയിട്ടുണ്ട്
വ്യക്തമായി. ഇത് അർത്ഥമാക്കുന്നില്ല as എല്ലായ്‌പ്പോഴും മറ്റൊരു അസംബ്ലറിന്റെ അതേ വാക്യഘടനയാണ് ഉപയോഗിക്കുന്നത്
ഒരേ വാസ്തുവിദ്യ; ഉദാഹരണത്തിന്, 680x0 അസംബ്ലിയുടെ നിരവധി പൊരുത്തപ്പെടാത്ത പതിപ്പുകൾ ഞങ്ങൾക്കറിയാം
ഭാഷാ വാക്യഘടന.

ഓരോ തവണയും ഓടും as ഇത് കൃത്യമായി ഒരു സോഴ്സ് പ്രോഗ്രാം കൂട്ടിച്ചേർക്കുന്നു. ഉറവിട പ്രോഗ്രാം ഉണ്ടാക്കി
ഒന്നോ അതിലധികമോ ഫയലുകൾ. (സാധാരണ ഇൻപുട്ടും ഒരു ഫയലാണ്.)

നീ തരൂ as പൂജ്യമോ അതിലധികമോ ഇൻപുട്ട് ഫയൽ പേരുകളുള്ള ഒരു കമാൻഡ് ലൈൻ. ഇൻപുട്ട് ഫയലുകളാണ്
വായിക്കുക (ഇടത് ഫയലിന്റെ പേരിൽ നിന്ന് വലത്തോട്ട്). ഉള്ള ഒരു കമാൻഡ് ലൈൻ ആർഗ്യുമെന്റ് (ഏത് സ്ഥാനത്തും).
ഒരു ഇൻപുട്ട് ഫയൽ നാമം എന്നതിന് പ്രത്യേക അർത്ഥമൊന്നും എടുത്തിട്ടില്ല.

കൊടുത്താൽ as എന്നതിൽ നിന്ന് ഒരു ഇൻപുട്ട് ഫയൽ വായിക്കാൻ ശ്രമിക്കുന്ന ഫയലുകളുടെ പേരുകളൊന്നുമില്ല as സാധാരണ
ഇൻപുട്ട്, ഇത് സാധാരണയായി നിങ്ങളുടെ ടെർമിനലാണ്. നിങ്ങൾ ടൈപ്പ് ചെയ്യേണ്ടി വന്നേക്കാം ctl-D പറയാൻ as അവിടെ ഇല്ല
കൂട്ടിച്ചേർക്കാൻ കൂടുതൽ പ്രോഗ്രാം.

ഉപയോഗം -- നിങ്ങളുടെ കമാൻഡ് ലൈനിലെ സ്റ്റാൻഡേർഡ് ഇൻപുട്ട് ഫയലിന് വ്യക്തമായി പേര് നൽകണമെങ്കിൽ.

ഉറവിടം ശൂന്യമാണെങ്കിൽ, as ഒരു ചെറിയ, ശൂന്യമായ ഒബ്ജക്റ്റ് ഫയൽ നിർമ്മിക്കുന്നു.

as സാധാരണ പിശക് ഫയലിലേക്ക് മുന്നറിയിപ്പുകളും പിശക് സന്ദേശങ്ങളും എഴുതാം (സാധാരണയായി നിങ്ങളുടെ
അതിതീവ്രമായ). ഒരു കംപൈലർ പ്രവർത്തിക്കുമ്പോൾ ഇത് സംഭവിക്കരുത് as ഓട്ടോമാറ്റിയ്ക്കായി. മുന്നറിയിപ്പുകൾ
അങ്ങനെ ഉണ്ടാക്കിയ ഒരു അനുമാനം റിപ്പോർട്ട് ചെയ്യുക as ഒരു വികലമായ പ്രോഗ്രാം കൂട്ടിച്ചേർക്കുന്നത് തുടരാം; പിശകുകൾ റിപ്പോർട്ട്
അസംബ്ലി നിർത്തുന്ന ഗുരുതരമായ പ്രശ്നം.

നിങ്ങൾ അഭ്യർത്ഥിക്കുകയാണെങ്കിൽ as GNU C കംപൈലർ വഴി നിങ്ങൾക്ക് ഉപയോഗിക്കാം -വാ കടന്നുപോകാനുള്ള ഓപ്ഷൻ
അസംബ്ലർക്ക് വാദങ്ങൾ. അസംബ്ലർ ആർഗ്യുമെന്റുകൾ ഓരോന്നിൽ നിന്നും വേർതിരിക്കേണ്ടതാണ്
മറ്റുള്ളവ (ഒപ്പം -വാ) കോമകൾ വഴി. ഉദാഹരണത്തിന്:

gcc -c -g -O -Wa,-alh,-L file.c

ഇത് അസംബ്ലർക്ക് രണ്ട് ഓപ്ഷനുകൾ നൽകുന്നു: -അൽഹ് (ഇതുപയോഗിച്ച് സ്റ്റാൻഡേർഡ് ഔട്ട്‌പുട്ടിലേക്ക് ഒരു ലിസ്‌റ്റിംഗ് എമിറ്റ് ചെയ്യുക
ഉയർന്ന തലത്തിലുള്ളതും അസംബ്ലി ഉറവിടവും) കൂടാതെ -L (ചിഹ്ന പട്ടികയിൽ പ്രാദേശിക ചിഹ്നങ്ങൾ നിലനിർത്തുക).

സാധാരണയായി നിങ്ങൾ ഇത് ഉപയോഗിക്കേണ്ടതില്ല -വാ മെക്കാനിസം, പല കംപൈലർ കമാൻഡ്-ലൈൻ മുതൽ
കംപൈലർ വഴി ഓപ്ഷനുകൾ സ്വയമേവ അസംബ്ലർക്ക് കൈമാറുന്നു. (നിങ്ങൾക്ക് GNU-നെ വിളിക്കാം
കൂടെ കമ്പൈലർ ഡ്രൈവർ -v ഓരോന്നിനും ഏതൊക്കെ ഓപ്‌ഷനുകളാണ് കൈമാറുന്നതെന്ന് കൃത്യമായി കാണാനുള്ള ഓപ്ഷൻ
അസംബ്ലർ ഉൾപ്പെടെയുള്ള സമാഹാര പാസ്.)

ഓപ്ഷനുകൾ


-a[cdhlmns]
വിവിധ വഴികളിൽ ഏതെങ്കിലും ലിസ്റ്റിംഗുകൾ ഓണാക്കുക:

- ഒപ്പം തെറ്റായ വ്യവസ്ഥകൾ ഒഴിവാക്കുക

-പരസ്യം ഡീബഗ്ഗിംഗ് നിർദ്ദേശങ്ങൾ ഒഴിവാക്കുക

-ആഹ് ഉയർന്ന തലത്തിലുള്ള ഉറവിടം ഉൾപ്പെടുന്നു

-അൽ അസംബ്ലി ഉൾപ്പെടുന്നു

-എഎം മാക്രോ വിപുലീകരണങ്ങൾ ഉൾപ്പെടുന്നു

-എൻ ഫോമുകളുടെ പ്രോസസ്സിംഗ് ഒഴിവാക്കുക

-പോലെ ചിഹ്നങ്ങൾ ഉൾപ്പെടുന്നു

= ഫയൽ
ലിസ്റ്റിംഗ് ഫയലിന്റെ പേര് സജ്ജമാക്കുക

നിങ്ങൾക്ക് ഈ ഓപ്ഷനുകൾ സംയോജിപ്പിക്കാം; ഉദാഹരണത്തിന്, ഉപയോഗിക്കുക -അൽൻ കൂടാതെ അസംബ്ലി ലിസ്റ്റിംഗിനായി
ഫോമുകൾ പ്രോസസ്സിംഗ്. ദി = ഫയൽ ഓപ്ഷൻ, ഉപയോഗിക്കുകയാണെങ്കിൽ, അവസാനത്തേതായിരിക്കണം. അത് സ്വയം, -a
സ്ഥിരസ്ഥിതിയായി -ahls.

--ഏകാന്തരക്രമത്തിൽ
ഇതര മാക്രോ മോഡിൽ ആരംഭിക്കുക, @ref{Altmacro,".altmacro"} കാണുക.

-D അവഗണിച്ചു. മറ്റുള്ളവരിലേക്കുള്ള കോളുകളുമായുള്ള സ്ക്രിപ്റ്റ് അനുയോജ്യതയ്ക്കായി ഈ ഓപ്‌ഷൻ സ്വീകരിക്കുന്നു
അസംബ്ലർമാർ.

--defsym സിം=മൂല്യം
ചിഹ്നം നിർവ്വചിക്കുക സിം ആയിരിക്കാൻ മൂല്യം ഇൻപുട്ട് ഫയൽ കൂട്ടിച്ചേർക്കുന്നതിന് മുമ്പ്. മൂല്യം ഒരു ആയിരിക്കണം
പൂർണ്ണസംഖ്യ സ്ഥിരാങ്കം. സിയിലെന്നപോലെ, ഒരു പ്രമുഖ 0x ഒരു ഹെക്സാഡെസിമൽ മൂല്യവും ഒരു ലീഡിംഗും സൂചിപ്പിക്കുന്നു
0 ഒരു ഒക്ടൽ മൂല്യം സൂചിപ്പിക്കുന്നു.

-f ``വേഗത''--- വൈറ്റ്‌സ്‌പേസ് ഒഴിവാക്കി പ്രീപ്രോസസ്സിംഗ് കമന്റ് ചെയ്യുക (ഉറവിടം കംപൈലർ ആണെന്ന് കരുതുക
outputട്ട്പുട്ട്).

-g
--ജെൻ-ഡീബഗ്
ഏത് ഡീബഗ് ഉപയോഗിച്ച് ഓരോ അസംബ്ലർ സോഴ്സ് ലൈനിനും ഡീബഗ്ഗിംഗ് വിവരങ്ങൾ സൃഷ്ടിക്കുക
ഫോർമാറ്റ് ടാർഗെറ്റ് തിരഞ്ഞെടുക്കുന്നു. ഇത് നിലവിൽ ഒന്നുകിൽ STABS, ECOFF അല്ലെങ്കിൽ
DWARF2.

--gstabs
ഓരോ അസംബ്ലർ ലൈനിനും സ്റ്റാബ്സ് ഡീബഗ്ഗിംഗ് വിവരങ്ങൾ സൃഷ്ടിക്കുക. ഇത് ഡീബഗ്ഗിംഗ് സഹായിച്ചേക്കാം
അസംബ്ലർ കോഡ്, ഡീബഗ്ഗറിന് അത് കൈകാര്യം ചെയ്യാൻ കഴിയുമെങ്കിൽ.

--gstabs+
ഓരോ അസംബ്ലർ ലൈനിനും സ്റ്റാബ്സ് ഡീബഗ്ഗിംഗ് വിവരങ്ങൾ സൃഷ്ടിക്കുക, GNU എക്സ്റ്റൻഷനുകൾ ഉപയോഗിച്ച്
ഒരുപക്ഷേ ജിഡിബിക്ക് മാത്രമേ കൈകാര്യം ചെയ്യാൻ കഴിയൂ, അത് മറ്റ് ഡീബഗ്ഗറുകളെ തകരാറിലാക്കുകയോ നിരസിക്കുകയോ ചെയ്യും
നിങ്ങളുടെ പ്രോഗ്രാം വായിക്കുക. അസംബ്ലർ കോഡ് ഡീബഗ്ഗ് ചെയ്യാൻ ഇത് സഹായിച്ചേക്കാം. നിലവിൽ ഏക ഗ്നു
വിപുലീകരണം എന്നത് അസംബ്ലിംഗ് സമയത്ത് നിലവിലുള്ള വർക്കിംഗ് ഡയറക്ടറിയുടെ സ്ഥാനമാണ്.

--gdwarf-2
ഓരോ അസംബ്ലർ ലൈനിനും DWARF2 ഡീബഗ്ഗിംഗ് വിവരങ്ങൾ സൃഷ്ടിക്കുക. ഇത് സഹായിച്ചേക്കാം
ഡീബഗ്ഗിംഗ് അസംബ്ലർ കോഡ്, ഡീബഗ്ഗറിന് അത് കൈകാര്യം ചെയ്യാൻ കഴിയുമെങ്കിൽ. ശ്രദ്ധിക്കുക --- ഈ ഓപ്ഷൻ മാത്രമാണ്
ചില ലക്ഷ്യങ്ങൾ പിന്തുണയ്ക്കുന്നു, അവയെല്ലാം അല്ല.

--സഹായിക്കൂ
കമാൻഡ് ലൈൻ ഓപ്ഷനുകളുടെ ഒരു സംഗ്രഹം പ്രിന്റ് ചെയ്ത് പുറത്തുകടക്കുക.

--ലക്ഷ്യം-സഹായം
എല്ലാ ടാർഗെറ്റ് നിർദ്ദിഷ്ട ഓപ്ഷനുകളുടെയും ഒരു സംഗ്രഹം പ്രിന്റ് ചെയ്ത് പുറത്തുകടക്കുക.

-I മുതലാളി
ഡയറക്ടറി ചേർക്കുക മുതലാളി ".include" നിർദ്ദേശങ്ങൾക്കായുള്ള തിരയൽ ലിസ്റ്റിലേക്ക്.

-J ഒപ്പിട്ട ഓവർഫ്ലോയെക്കുറിച്ച് മുന്നറിയിപ്പ് നൽകരുത്.

-K ദൈർഘ്യമേറിയ സ്ഥാനചലനങ്ങൾക്കായി വ്യത്യാസ പട്ടികകൾ മാറുമ്പോൾ മുന്നറിയിപ്പുകൾ നൽകുക.

-L
--പ്രാദേശികരെ സൂക്ഷിക്കുക
പ്രാദേശിക ചിഹ്നങ്ങൾ (ചിഹ്ന പട്ടികയിൽ) സൂക്ഷിക്കുക. പരമ്പരാഗത a.out സിസ്റ്റങ്ങളിൽ ഇവ ആരംഭിക്കുന്നു
കൂടെ L, എന്നാൽ വ്യത്യസ്ത സിസ്റ്റങ്ങൾക്ക് വ്യത്യസ്ത പ്രാദേശിക ലേബൽ പ്രിഫിക്സുകൾ ഉണ്ട്.

--listing-lhs-width=അക്കം
ഒരു അസംബ്ലർ ലിസ്റ്റിംഗിനായി ഔട്ട്‌പുട്ട് ഡാറ്റ കോളത്തിന്റെ പരമാവധി വീതി വാക്കുകളിൽ സജ്ജീകരിക്കുക
അക്കം.

--listing-lhs-width2=അക്കം
തുടർച്ചയായ വരികൾക്കായി ഔട്ട്‌പുട്ട് ഡാറ്റ കോളത്തിന്റെ പരമാവധി വീതി വാക്കുകളിൽ സജ്ജീകരിക്കുക
ഒരു അസംബ്ലർ പട്ടികപ്പെടുത്തുന്നു അക്കം.

--listing-rhs-width=അക്കം
ഒരു ലിസ്റ്റിംഗിൽ പ്രദർശിപ്പിച്ചിരിക്കുന്നതുപോലെ, ഒരു ഇൻപുട്ട് ഉറവിട ലൈനിന്റെ പരമാവധി വീതി സജ്ജമാക്കുക അക്കം
ബൈറ്റുകൾ.

--listing-cont-lines=അക്കം
ഒരു ലിസ്‌റ്റിംഗിൽ പ്രിന്റ് ചെയ്‌തിരിക്കുന്ന പരമാവധി വരികളുടെ എണ്ണം ഇൻപുട്ടിന്റെ ഒരു വരിക്കായി സജ്ജീകരിക്കുക
അക്കം + 1.

-o objfile
ഇതിൽ നിന്നുള്ള ഒബ്‌ജക്റ്റ്-ഫയൽ ഔട്ട്‌പുട്ടിന് പേര് നൽകുക as objfile.

-R ഡാറ്റ വിഭാഗം ടെക്സ്റ്റ് വിഭാഗത്തിലേക്ക് മടക്കുക.

--സ്ഥിതിവിവരക്കണക്കുകൾ
അസംബ്ലി ഉപയോഗിക്കുന്ന പരമാവധി സ്ഥലവും (ബൈറ്റുകളിൽ) മൊത്തം സമയവും (സെക്കൻഡിൽ) പ്രിന്റ് ചെയ്യുക.

--സ്ട്രിപ്പ്-ലോക്കൽ-സമ്പൂർണ
ഔട്ട്‌ഗോയിംഗ് ചിഹ്ന പട്ടികയിൽ നിന്ന് പ്രാദേശിക സമ്പൂർണ്ണ ചിഹ്നങ്ങൾ നീക്കം ചെയ്യുക.

-v
-പതിപ്പ്
അച്ചടിക്കുക as പതിപ്പ്.

--പതിപ്പ്
അച്ചടിക്കുക as പതിപ്പും എക്സിറ്റും.

-W
--ഇല്ല-മുന്നറിയിപ്പ്
മുന്നറിയിപ്പ് സന്ദേശങ്ങൾ അടിച്ചമർത്തുക.

--മാരകമായ മുന്നറിയിപ്പ്
മുന്നറിയിപ്പുകളെ പിശകുകളായി കണക്കാക്കുക.

--മുന്നറിയിപ്പ്
മുന്നറിയിപ്പ് സന്ദേശങ്ങൾ അടിച്ചമർത്തുകയോ പിശകുകളായി കണക്കാക്കുകയോ ചെയ്യരുത്.

-w അവഗണിച്ചു.

-x അവഗണിച്ചു.

-Z പിശകുകൾക്ക് ശേഷവും ഒരു ഒബ്ജക്റ്റ് ഫയൽ സൃഷ്ടിക്കുക.

-- ഫയലുകൾ ...
സ്റ്റാൻഡേർഡ് ഇൻപുട്ട് അല്ലെങ്കിൽ സോഴ്സ് ഫയലുകൾ കൂട്ടിച്ചേർക്കുക.

ഒരു ARC പ്രൊസസറിനായി ക്രമീകരിച്ചിരിക്കുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-മാർക്[5⎪6⎪7⎪8]
ഈ ഓപ്ഷൻ കോർ പ്രോസസർ വേരിയന്റ് തിരഞ്ഞെടുക്കുന്നു.

-ഇ.ബി -ഇഎൽ
ബിഗ്-എൻഡിയൻ (-ഇബി) അല്ലെങ്കിൽ ലിറ്റിൽ-എൻഡിയൻ (-ഇഎൽ) ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കുക.

ARM പ്രൊസസർ ഫാമിലിക്കായി കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-mcpu=പ്രോസസർ[+വിപുലീകരണം...]
ഏത് ARM പ്രോസസർ വേരിയന്റാണ് ലക്ഷ്യമിടുന്നതെന്ന് വ്യക്തമാക്കുക.

-മാർച്ച്=വാസ്തുവിദ്യ[+വിപുലീകരണം...]
ടാർഗെറ്റ് ഏത് ARM ആർക്കിടെക്ചർ വേരിയന്റാണ് ഉപയോഗിക്കുന്നതെന്ന് വ്യക്തമാക്കുക.

-mfpu=ഫ്ലോട്ടിംഗ് പോയിന്റ് ഫോർമാറ്റ്
ഏത് ഫ്ലോട്ടിംഗ് പോയിന്റ് ആർക്കിടെക്ചറാണ് ലക്ഷ്യമിടുന്നതെന്ന് തിരഞ്ഞെടുക്കുക.

-mfloat-abi=അബി
ഏത് ഫ്ലോട്ടിംഗ് പോയിന്റാണ് എബിഐ ഉപയോഗിക്കുന്നതെന്ന് തിരഞ്ഞെടുക്കുക.

-മുമ്പ്
തമ്പ് മാത്രം നിർദ്ദേശങ്ങൾ ഡീകോഡിംഗ് പ്രവർത്തനക്ഷമമാക്കുക.

-mapcs-32 -mapcs-26 -mapcs-float -mapcs-reentrant
ഏത് നടപടിക്രമം കോളിംഗ് കൺവെൻഷനാണ് ഉപയോഗത്തിലുള്ളതെന്ന് തിരഞ്ഞെടുക്കുക.

-ഇ.ബി -ഇഎൽ
ബിഗ്-എൻഡിയൻ (-ഇബി) അല്ലെങ്കിൽ ലിറ്റിൽ-എൻഡിയൻ (-ഇഎൽ) ഔട്ട്പുട്ട് തിരഞ്ഞെടുക്കുക.

-mthumb-ഇന്റർവർക്ക്
തമ്പിനും ARM കോഡിനും ഇടയിലുള്ള പരസ്പര പ്രവർത്തനത്തിലൂടെയാണ് കോഡ് സൃഷ്‌ടിച്ചതെന്ന് വ്യക്തമാക്കുക
മനസ്സിൽ.

-k PIC കോഡ് സൃഷ്ടിച്ചിട്ടുണ്ടെന്ന് വ്യക്തമാക്കുക.

CRIS-നിർദ്ദിഷ്ട ഓപ്ഷനുകളുടെ ഡോക്യുമെന്റേഷനായി വിവര പേജുകൾ കാണുക.

ഒരു D10V പ്രൊസസറിനായി ക്രമീകരിച്ചിരിക്കുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-O നിർദ്ദേശങ്ങൾ സമാന്തരമാക്കി ഔട്ട്പുട്ട് ഒപ്റ്റിമൈസ് ചെയ്യുക.

ഒരു D30V പ്രൊസസറിനായി ക്രമീകരിച്ചിരിക്കുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-O നിർദ്ദേശങ്ങൾ സമാന്തരമാക്കി ഔട്ട്പുട്ട് ഒപ്റ്റിമൈസ് ചെയ്യുക.

-n നോപ്‌സ് ഉണ്ടാകുമ്പോൾ മുന്നറിയിപ്പ് നൽകുക.

-N 32-ബിറ്റ് ഗുണന നിർദ്ദേശത്തിന് ശേഷം ഒരു നോപ്പ് ഉണ്ടാകുമ്പോൾ മുന്നറിയിപ്പ് നൽകുക.

Intel 80960 പ്രൊസസറിനായി ക്രമീകരിച്ചിരിക്കുന്നതുപോലെ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-എസിഎ -ACA_A -എ.സി.ബി -എ.സി.സി -എ.കെ.എ -എ.കെ.ബി -എ.കെ.സി -എഎംസി
960 ആർക്കിടെക്ചറിന്റെ ഏത് വകഭേദമാണ് ലക്ഷ്യമിടുന്നതെന്ന് വ്യക്തമാക്കുക.

-b എടുത്ത ശാഖകളെക്കുറിച്ചുള്ള സ്ഥിതിവിവരക്കണക്കുകൾ ശേഖരിക്കാൻ കോഡ് ചേർക്കുക.

-വിശ്രമമില്ല
ദൈർഘ്യമേറിയ സ്ഥാനചലനങ്ങൾക്കായി താരതമ്യം ചെയ്യുന്നതിനുള്ള നിർദ്ദേശങ്ങൾ മാറ്റരുത്; എങ്കിൽ പിശക്
അത്യാവശ്യമാണ്.

Ubicom IP2K സീരീസിനായി കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-mip2022ext
വിപുലീകരിച്ച IP2022 നിർദ്ദേശങ്ങൾ അനുവദനീയമാണെന്ന് വ്യക്തമാക്കുന്നു.

-mip2022
ഡിഫോൾട്ട് പെരുമാറ്റം പുനഃസ്ഥാപിക്കുന്നു, ഇത് അനുവദനീയമായ നിർദ്ദേശങ്ങളെ പരിമിതപ്പെടുത്തുന്നു
അടിസ്ഥാന IP2022 ഒന്ന്.

Renesas M32R (മുമ്പ്
മിത്സുബിഷി M32R) സീരീസ്.

--m32rx
M32R കുടുംബത്തിലെ ഏത് പ്രോസസറാണ് ലക്ഷ്യമിടുന്നതെന്ന് വ്യക്തമാക്കുക. സ്ഥിരസ്ഥിതി സാധാരണയായി ആണ്
M32R, എന്നാൽ ഈ ഓപ്ഷൻ അതിനെ M32RX-ലേക്ക് മാറ്റുന്നു.

--മുന്നറിയിപ്പ്-വ്യക്തമായ-സമാന്തര-സംഘർഷങ്ങൾ or --Wp
സംശയാസ്പദമായ സമാന്തര നിർമ്മിതികൾ നേരിടുമ്പോൾ മുന്നറിയിപ്പ് സന്ദേശങ്ങൾ നിർമ്മിക്കുക.

--മുന്നറിയിപ്പ്-വ്യക്തമല്ലാത്ത-സമാന്തര-സംഘർഷങ്ങൾ ഇല്ല or --Wnp
സംശയാസ്പദമായ സമാന്തര നിർമ്മിതികൾ നേരിടുമ്പോൾ മുന്നറിയിപ്പ് സന്ദേശങ്ങൾ നൽകരുത്.

മോട്ടറോള 68000 സീരീസിനായി കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-l നിർവചിക്കാത്ത ചിഹ്നങ്ങളിലേക്കുള്ള റഫറൻസുകൾ രണ്ടിന് പകരം ഒരു വാക്കിലേക്ക് ചുരുക്കുക.

-m68000 -m68008 -m68010 -m68020 -m68030
-m68040 -m68060 -m68302 -m68331 -m68332
-m68333 -m68340 -mcpu32 -m5200
68000 കുടുംബത്തിലെ ഏത് പ്രോസസറാണ് ലക്ഷ്യമിടുന്നതെന്ന് വ്യക്തമാക്കുക. സ്ഥിരസ്ഥിതി സാധാരണയായി ആണ്
68020, എന്നാൽ ഇത് കോൺഫിഗറേഷൻ സമയത്ത് മാറ്റാവുന്നതാണ്.

-m68881 -m68882 -mno-68881 -mno-68882
ടാർഗെറ്റ് മെഷീനിൽ ഒരു ഫ്ലോട്ടിംഗ് പോയിന്റ് കോപ്രോസസർ ഉണ്ട് (അല്ലെങ്കിൽ ഇല്ല). സ്ഥിരസ്ഥിതി
68020, 68030, cpu32 എന്നിവയ്‌ക്കായി ഒരു കോപ്രൊസസർ അനുമാനിക്കുക എന്നതാണ്. അടിസ്ഥാന 68000 ആണെങ്കിലും
68881 ന് അനുയോജ്യമല്ല, രണ്ടിന്റെയും സംയോജനം വ്യക്തമാക്കാം, കാരണം ഇത്
പ്രധാന പ്രോസസർ ഉപയോഗിച്ച് കോപ്രോസസർ നിർദ്ദേശങ്ങളുടെ അനുകരണം സാധ്യമാണ്.

-m68851 -mno-68851
ടാർഗെറ്റ് മെഷീന് മെമ്മറി-മാനേജ്മെന്റ് യൂണിറ്റ് കോപ്രോസസർ ഉണ്ട് (അല്ലെങ്കിൽ ഇല്ല). ദി
68020-നും അതിനുമുകളിലുള്ളതിനും ഒരു MMU അനുമാനിക്കുക എന്നതാണ് ഡിഫോൾട്ട്.

PDP-11 മെഷീൻ ആശ്രിത ഫീച്ചറുകളെ കുറിച്ചുള്ള വിശദാംശങ്ങൾക്ക്, @ref{PDP-11-Options} കാണുക.

-എംപിക് -mno-pic
സ്ഥാന-സ്വതന്ത്ര (അല്ലെങ്കിൽ സ്ഥാന-ആശ്രിത) കോഡ് സൃഷ്ടിക്കുക. സ്ഥിരസ്ഥിതിയാണ് -എംപിക്.

-മാൾ
-മാൾ-വിപുലീകരണങ്ങൾ
എല്ലാ നിർദ്ദേശ സെറ്റ് വിപുലീകരണങ്ങളും പ്രവർത്തനക്ഷമമാക്കുക. ഇതാണ് സ്ഥിരസ്ഥിതി.

-mno-വിപുലീകരണങ്ങൾ
എല്ലാ നിർദ്ദേശ സെറ്റ് വിപുലീകരണങ്ങളും പ്രവർത്തനരഹിതമാക്കുക.

-mവിപുലീകരണം -mno-വിപുലീകരണം
ഒരു പ്രത്യേക നിർദ്ദേശ സെറ്റ് വിപുലീകരണം പ്രവർത്തനക്ഷമമാക്കുക (അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക).

-mസിപിയു
ഒരു പ്രത്യേക സിപിയു പിന്തുണയ്ക്കുന്ന ഇൻസ്ട്രക്ഷൻ സെറ്റ് എക്സ്റ്റൻഷനുകൾ പ്രവർത്തനക്ഷമമാക്കുക, കൂടാതെ എല്ലാം പ്രവർത്തനരഹിതമാക്കുക
മറ്റ് വിപുലീകരണങ്ങൾ.

-mമെഷീൻ
ഒരു പ്രത്യേക മെഷീൻ മോഡൽ പിന്തുണയ്ക്കുന്ന ഇൻസ്ട്രക്ഷൻ സെറ്റ് എക്സ്റ്റൻഷനുകൾ പ്രവർത്തനക്ഷമമാക്കുക, കൂടാതെ
മറ്റെല്ലാ വിപുലീകരണങ്ങളും പ്രവർത്തനരഹിതമാക്കുക.

ഒരു picoJava പ്രൊസസറിനായി ക്രമീകരിച്ചിരിക്കുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-mb ``ബിഗ് എൻഡിയൻ'' ഫോർമാറ്റ് ഔട്ട്‌പുട്ട് സൃഷ്ടിക്കുക.

- മില്ലി ``ലിറ്റിൽ എൻഡിയൻ'' ഫോർമാറ്റ് ഔട്ട്പുട്ട് സൃഷ്ടിക്കുക.

Motorola 68HC11 അല്ലെങ്കിൽ കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്
68HC12 സീരീസ്.

-m68hc11 -m68hc12 -m68hcs12
ഏത് പ്രോസസറാണ് ലക്ഷ്യമിടുന്നതെന്ന് വ്യക്തമാക്കുക. സ്ഥിരസ്ഥിതി കോൺഫിഗറേഷൻ നിർവചിച്ചിരിക്കുന്നു
അസംബ്ലർ നിർമ്മിക്കുമ്പോൾ ഓപ്ഷൻ.

-msshort
16-ബിറ്റ് പൂർണ്ണസംഖ്യ എബിഐ ഉപയോഗിക്കണമെന്ന് വ്യക്തമാക്കുക.

-നീല
32-ബിറ്റ് പൂർണ്ണസംഖ്യ എബിഐ ഉപയോഗിക്കണമെന്ന് വ്യക്തമാക്കുക.

-എംഷോർട്ട്-ഇരട്ട
32-ബിറ്റ് ഇരട്ട എബിഐ ഉപയോഗിക്കാൻ വ്യക്തമാക്കുക.

-mlong-ഇരട്ട
64-ബിറ്റ് ഇരട്ട എബിഐ ഉപയോഗിക്കാൻ വ്യക്തമാക്കുക.

--ശക്തി-നീണ്ട ശാഖകൾ
ആപേക്ഷിക ശാഖകൾ കേവലമായവയാക്കി മാറ്റുന്നു. ഇത് സോപാധിക ശാഖകളെ ബാധിക്കുന്നു,
ഉപാധികളില്ലാത്ത ശാഖകളും ശാഖകളും ഒരു ഉപ ദിനചര്യയിലേക്ക്.

-S --ഹ്രസ്വ ശാഖകൾ
ഓഫ്‌സെറ്റ് പരിധിക്ക് പുറത്തായിരിക്കുമ്പോൾ ആപേക്ഷിക ശാഖകളെ കേവല ശാഖകളാക്കി മാറ്റരുത്.

--സ്ട്രിക്റ്റ്-ഡയറക്ട്-മോഡ്
ഡയറക്ട് അഡ്രസ്സിംഗ് മോഡ് എക്സ്റ്റെൻഡഡ് അഡ്രസ്സിംഗ് മോഡിലേക്ക് മാറ്റരുത്
നിർദ്ദേശം ഡയറക്ട് അഡ്രസ്സിംഗ് മോഡിനെ പിന്തുണയ്ക്കുന്നില്ല.

--print-insn-സിന്റാക്സ്
പിശക് സംഭവിച്ചാൽ നിർദ്ദേശത്തിന്റെ വാക്യഘടന അച്ചടിക്കുക.

--print-opcodes
വാക്യഘടന ഉപയോഗിച്ച് നിർദ്ദേശങ്ങളുടെ ലിസ്റ്റ് പ്രിന്റ് ചെയ്ത് പുറത്തുകടക്കുക.

--ജനറേറ്റ്-ഉദാഹരണം
സാധ്യമായ ഓരോ നിർദ്ദേശത്തിനും നിർദ്ദേശത്തിന്റെ ഒരു ഉദാഹരണം പ്രിന്റ് ചെയ്യുക, തുടർന്ന് പുറത്തുകടക്കുക. ഈ
ഓപ്ഷൻ ടെസ്റ്റിംഗിന് മാത്രമേ ഉപയോഗപ്രദമാകൂ as.

ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ എപ്പോൾ ലഭ്യമാണ് as SPARC ആർക്കിടെക്ചറിനായി ക്രമീകരിച്ചിരിക്കുന്നു:

-Av6 -Av7 -Av8 -അസ്പാർക്ലെറ്റ് -അസ്പാർക്ലൈറ്റ്
-Av8plus -Av8plusa -Av9 -Av9a
സ്പാർക് ആർക്കിടെക്ചറിന്റെ ഒരു വകഭേദം വ്യക്തമായി തിരഞ്ഞെടുക്കുക.

-Av8plus ഒപ്പം -Av8plusa ഒരു 32 ബിറ്റ് എൻവയോൺമെന്റ് തിരഞ്ഞെടുക്കുക. -Av9 ഒപ്പം -Av9a ഒരു 64 ബിറ്റ് തിരഞ്ഞെടുക്കുക
പരിസ്ഥിതി.

-Av8plusa ഒപ്പം -Av9a UltraSPARC വിപുലീകരണങ്ങൾ ഉപയോഗിച്ച് SPARC V9 നിർദ്ദേശങ്ങൾ സജ്ജമാക്കുക.

-xarch=v8plus -xarch=v8plusa
Solaris v9 അസംബ്ലറുമായുള്ള അനുയോജ്യതയ്ക്കായി. ഈ ഓപ്ഷനുകൾ തുല്യമാണ്
യഥാക്രമം -Av8plus, -Av8plusa.

-ബമ്പ്
അസംബ്ലർ മറ്റൊരു ആർക്കിടെക്ചറിലേക്ക് മാറുമ്പോൾ മുന്നറിയിപ്പ് നൽകുക.

'c54x ആർക്കിടെക്ചറിനായി ക്രമീകരിച്ചിരിക്കുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-mfar-mode
വിപുലീകൃത വിലാസ മോഡ് പ്രവർത്തനക്ഷമമാക്കുക. എല്ലാ വിലാസങ്ങളും സ്ഥലംമാറ്റങ്ങളും നീട്ടിയതായി അനുമാനിക്കും
വിലാസം (സാധാരണയായി 23 ബിറ്റുകൾ).

-mcpu=CPU_VERSION
കംപൈൽ ചെയ്യുന്ന സിപിയു പതിപ്പ് സജ്ജമാക്കുന്നു.

-മെറേഴ്സ്-ടു-ഫയൽ ഫയലിന്റെ പേര്
അത്തരം സ്വഭാവത്തെ പിന്തുണയ്ക്കാത്ത തകർന്ന സിസ്റ്റങ്ങൾക്കായി ഒരു ഫയലിലേക്ക് പിശക് ഔട്ട്പുട്ട് റീഡയറക്ട് ചെയ്യുക
ഷെല്ലിൽ.

ഒരു MIPS പ്രോസസറിനായി ക്രമീകരിച്ചിരിക്കുന്നതുപോലെ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-G സംഖ്യ
ഈ ഓപ്‌ഷൻ ഒരു ഒബ്‌ജക്‌റ്റിന്റെ ഏറ്റവും വലിയ വലുപ്പം സജ്ജീകരിക്കുന്നു, അത് ഉപയോഗിച്ച് പരോക്ഷമായി പരാമർശിക്കാനാകും
"gp" രജിസ്റ്റർ. എ പോലുള്ള ECOFF ഫോർമാറ്റ് ഉപയോഗിക്കുന്ന ടാർഗെറ്റുകൾക്ക് മാത്രമേ ഇത് സ്വീകരിക്കുകയുള്ളൂ
അൾട്രിക്സ് പ്രവർത്തിപ്പിക്കുന്ന ഡിഇസി സ്റ്റേഷൻ. സ്ഥിര മൂല്യം 8 ആണ്.

-ഇ.ബി ``ബിഗ് എൻഡിയൻ'' ഫോർമാറ്റ് ഔട്ട്‌പുട്ട് സൃഷ്ടിക്കുക.

-ഇഎൽ ``ലിറ്റിൽ എൻഡിയൻ'' ഫോർമാറ്റ് ഔട്ട്പുട്ട് സൃഷ്ടിക്കുക.

-mips1
-mips2
-mips3
-mips4
-mips5
-mips32
-mips32r2
-mips64
-mips64r2
ഒരു പ്രത്യേക MIPS ഇൻസ്ട്രക്ഷൻ സെറ്റ് ആർക്കിടെക്ചർ ലെവലിനായി കോഡ് സൃഷ്ടിക്കുക. -mips1 ഒരു ആണ്
എന്നതിന്റെ അപരനാമം -മാർച്ച്=r3000, -mips2 എന്നതിന്റെ അപരനാമമാണ് -മാർച്ച്=r6000, -mips3 എന്നതിന്റെ അപരനാമമാണ്
-മാർച്ച്=r4000 ഒപ്പം -mips4 എന്നതിന്റെ അപരനാമമാണ് -മാർച്ച്=r8000. -mips5, -mips32, -mips32r2,
-mips64, ഒപ്പം -mips64r2 പൊതുവായവയുമായി പൊരുത്തപ്പെടുന്നു എംഐപിഎസ് V, MIPS32, MIPS32 റിലീസ് 2, MIPS64,
ഒപ്പം MIPS64 റിലീസ് 2 യഥാക്രമം ISA പ്രോസസ്സറുകൾ.

-മാർച്ച്=സിപിയു
ഒരു പ്രത്യേക MIPS cpu-യ്‌ക്കായി കോഡ് സൃഷ്‌ടിക്കുക.

-mtune=സിപിയു
ഒരു പ്രത്യേക MIPS cpu-യ്‌ക്കായി ഷെഡ്യൂൾ ചെയ്‌ത് ട്യൂൺ ചെയ്യുക.

-mfix7000
-mno-fix7000
ഒരു mfhi അല്ലെങ്കിൽ mflo-യുടെ ഡെസ്റ്റിനേഷൻ രജിസ്‌റ്റർ വായിച്ചാൽ നോപ്‌സ് ചേർക്കാൻ കാരണം
നിർദ്ദേശം ഇനിപ്പറയുന്ന രണ്ട് നിർദ്ദേശങ്ങളിൽ സംഭവിക്കുന്നു.

-mdebug
-no-mdebug
പകരം ഒരു ECOFF-സ്റ്റൈൽ .mdebug വിഭാഗത്തിലേക്ക് പോകുന്നതിന് സ്റ്റാബ്സ്-സ്റ്റൈൽ ഡീബഗ്ഗിംഗ് ഔട്ട്‌പുട്ട് ഉണ്ടാക്കുക
സാധാരണ ELF .stabs വിഭാഗങ്ങളുടെ.

-mpdr
-mno-pdr
".pdr" വിഭാഗങ്ങളുടെ ജനറേഷൻ നിയന്ത്രിക്കുക.

-mgp32
-mfp32
രജിസ്റ്റർ വലുപ്പങ്ങൾ സാധാരണയായി ISA, ABI എന്നിവയിൽ നിന്ന് അനുമാനിക്കപ്പെടുന്നു, എന്നാൽ ഈ പതാകകൾ a നിർബന്ധിക്കുന്നു
എല്ലാ സമയത്തും 32 ബിറ്റുകൾ വീതിയുള്ള ചില രജിസ്റ്ററുകൾ പരിഗണിക്കണം. -mgp32
പൊതു-ഉദ്ദേശ്യ രജിസ്റ്ററുകളുടെ വലിപ്പം നിയന്ത്രിക്കുന്നു -mfp32 യുടെ വലിപ്പം നിയന്ത്രിക്കുന്നു
ഫ്ലോട്ടിംഗ് പോയിന്റ് രജിസ്റ്ററുകൾ.

-mips16
-no-mips16
MIPS 16 പ്രോസസറിനായി കോഡ് സൃഷ്ടിക്കുക. ഇത് ".set mips16" ഇടുന്നതിന് തുല്യമാണ്
അസംബ്ലി ഫയലിന്റെ തുടക്കത്തിൽ. -no-mips16 ഈ ഓപ്ഷൻ ഓഫ് ചെയ്യുന്നു.

-mips3d
-no-mips3d
MIPS-3D ആപ്ലിക്കേഷൻ നിർദ്ദിഷ്ട വിപുലീകരണത്തിനായി കോഡ് സൃഷ്ടിക്കുക. ഇത് പറയുന്നു
MIPS-3D നിർദ്ദേശങ്ങൾ സ്വീകരിക്കാൻ അസംബ്ലർ. -no-mips3d ഈ ഓപ്ഷൻ ഓഫ് ചെയ്യുന്നു.

-mdmx
-no-mdmx
MDMX ആപ്ലിക്കേഷൻ നിർദ്ദിഷ്ട വിപുലീകരണത്തിനായി കോഡ് സൃഷ്ടിക്കുക. ഇത് അസംബ്ലറോട് പറയുന്നു
MDMX നിർദ്ദേശങ്ങൾ സ്വീകരിക്കാൻ. -no-mdmx ഈ ഓപ്ഷൻ ഓഫ് ചെയ്യുന്നു.

--നിർമ്മാണം-ഫ്ലോട്ടുകൾ
--നോ-കൺസ്ട്രക്റ്റ്-ഫ്ലോട്ടുകൾ
ദി --നോ-കൺസ്ട്രക്റ്റ്-ഫ്ലോട്ടുകൾ ഓപ്ഷൻ ഇരട്ട വീതി ഫ്ലോട്ടിംഗിന്റെ നിർമ്മാണം പ്രവർത്തനരഹിതമാക്കുന്നു
മൂല്യത്തിന്റെ രണ്ട് ഭാഗങ്ങൾ രണ്ട് ഒറ്റ വീതിയിലേക്ക് ലോഡ് ചെയ്തുകൊണ്ട് പോയിന്റ് കോൺസ്റ്റന്റ്സ്
ഇരട്ട വീതിയുള്ള രജിസ്റ്ററുണ്ടാക്കുന്ന ഫ്ലോട്ടിംഗ് പോയിന്റ് രജിസ്റ്ററുകൾ. സ്ഥിരസ്ഥിതിയായി
--നിർമ്മാണം-ഫ്ലോട്ടുകൾ തിരഞ്ഞെടുത്തു, ഈ ഫ്ലോട്ടിംഗ് പോയിന്റുകളുടെ നിർമ്മാണം അനുവദിക്കുന്നു
സ്ഥിരാങ്കങ്ങൾ.

--എമുലേഷൻ=പേര്
ഈ ഓപ്ഷൻ കാരണമാകുന്നു as അനുകരിക്കാൻ as മറ്റ് ചില ലക്ഷ്യങ്ങൾക്കായി ക്രമീകരിച്ചിരിക്കുന്നു, എല്ലാ അർത്ഥത്തിലും,
ഔട്ട്പുട്ട് ഫോർമാറ്റ് ഉൾപ്പെടെ (ELF-നും ECOFF-നും ഇടയിൽ മാത്രം തിരഞ്ഞെടുക്കൽ), കപട- കൈകാര്യം ചെയ്യൽ
ഡീബഗ്ഗിംഗ് വിവരങ്ങൾ സൃഷ്ടിക്കുന്നതോ ചിഹ്ന പട്ടിക വിവരങ്ങൾ സംഭരിക്കുന്നതോ ആയ ഒപ്‌കോഡുകൾ,
സ്വതവേയുള്ള അന്തസ്സും. ലഭ്യമായ കോൺഫിഗറേഷൻ പേരുകൾ ഇവയാണ്: mipsecoff, സ്വയം,
mipslecoff, mipsbecoff, mipslelf, മിപ്സ്ബെൽഫ്. ആദ്യ രണ്ടെണ്ണം സ്ഥിരസ്ഥിതിയിൽ മാറ്റം വരുത്തുന്നില്ല
അസംബ്ലർ കോൺഫിഗർ ചെയ്‌ത പ്രാഥമിക ലക്ഷ്യത്തിൽ നിന്നുള്ള അന്തിമത്വം; ദി
മറ്റുള്ളവർ സൂചിപ്പിക്കുന്നത് പോലെ ഡിഫോൾട്ട് ലിറ്റിൽ അല്ലെങ്കിൽ ബിഗ്-എൻഡിയനിലേക്ക് മാറ്റുന്നു b or l ലെ
പേര്. ഉപയോഗിക്കുന്നത് -ഇ.ബി or -ഇഎൽ ഏത് സാഹചര്യത്തിലും endianness സെലക്ഷനെ അസാധുവാക്കും.

പ്രാഥമിക ടാർഗെറ്റ് ആയിരിക്കുമ്പോൾ മാത്രമേ ഈ ഓപ്ഷൻ നിലവിൽ പിന്തുണയ്ക്കൂ as എന്നതിനായി ക്രമീകരിച്ചിരിക്കുന്നു
ഒരു MIPS ELF അല്ലെങ്കിൽ ECOFF ലക്ഷ്യമാണ്. കൂടാതെ, പ്രാഥമിക ലക്ഷ്യം അല്ലെങ്കിൽ മറ്റുള്ളവ വ്യക്തമാക്കിയിരിക്കുന്നു
കൂടെ --enable-targets=... കോൺഫിഗറേഷൻ സമയത്ത് മറ്റൊന്നിനുള്ള പിന്തുണ ഉൾപ്പെടുത്തണം
ഫോർമാറ്റ്, രണ്ടും ലഭ്യമാണെങ്കിൽ. ഉദാഹരണത്തിന്, Irix 5 കോൺഫിഗറേഷൻ ഉൾപ്പെടുന്നു
രണ്ടിനും പിന്തുണ.

ആത്യന്തികമായി, ഈ ഓപ്‌ഷൻ കൂടുതൽ സൂക്ഷ്മതയോടെ കൂടുതൽ കോൺഫിഗറേഷനുകളെ പിന്തുണയ്ക്കും
അസംബ്ലറുടെ പെരുമാറ്റം നിയന്ത്രിക്കുക, കൂടുതൽ പ്രോസസ്സറുകൾക്ക് പിന്തുണ നൽകും.

-nocpp
as ഈ ഓപ്ഷൻ അവഗണിക്കുന്നു. നേറ്റീവ് ടൂളുകളുമായുള്ള പൊരുത്തത്തിനായി ഇത് അംഗീകരിച്ചു.

--കെണി
--കുടുക്കില്ല
--ബ്രേക്ക്
--നോ-ബ്രേക്ക്
ഗുണന ഓവർഫ്ലോയും പൂജ്യം കൊണ്ട് ഹരിക്കലും എങ്ങനെ കൈകാര്യം ചെയ്യണമെന്ന് നിയന്ത്രിക്കുക. --കെണി or
--നോ-ബ്രേക്ക് (പര്യായപദങ്ങളാണ്) ഒരു ട്രാപ്പ് ഒഴിവാക്കൽ എടുക്കുക (കൂടാതെ പ്രബോധനത്തിനായി മാത്രം പ്രവർത്തിക്കുക
ആർക്കിടെക്ചർ ലെവൽ 2 ഉം ഉയർന്നതും സജ്ജമാക്കുക); --ബ്രേക്ക് or --കുടുക്കില്ല (പര്യായപദങ്ങളും, കൂടാതെ
ഡിഫോൾട്ട്) ഒരു ഇടവേള ഒഴിവാക്കുക.

-n ഈ ഓപ്ഷൻ ഉപയോഗിക്കുമ്പോൾ, as ഓരോ തവണയും ഒരു നോപ്പ് സൃഷ്ടിക്കുമ്പോൾ ഒരു മുന്നറിയിപ്പ് നൽകും
ഒരു മാക്രോയിൽ നിന്നുള്ള നിർദ്ദേശം.

ഒരു MCore പ്രൊസസറിനായി കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

-jsri2bsr
-nojsri2bsr
JSRI-ൽ നിന്ന് BSR പരിവർത്തനം പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക. സ്ഥിരസ്ഥിതിയായി ഇത് പ്രവർത്തനക്ഷമമാക്കിയിരിക്കുന്നു. ദി
കമാൻഡ് ലൈൻ ഓപ്ഷൻ -nojsri2bsr ഇത് പ്രവർത്തനരഹിതമാക്കാൻ ഉപയോഗിക്കാം.

-സിഫിൽറ്റർ
- നോസിഫിൽറ്റർ
സിലിക്കൺ ഫിൽട്ടർ പെരുമാറ്റം പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക. സ്ഥിരസ്ഥിതിയായി ഇത് പ്രവർത്തനരഹിതമാക്കിയിരിക്കുന്നു. ദി
സ്ഥിരസ്ഥിതി അസാധുവാക്കാൻ കഴിയും -സിഫിൽറ്റർ കമാൻഡ് ലൈൻ ഓപ്ഷൻ.

-ശാന്തമാകൂ
നീണ്ട സ്ഥാനചലനങ്ങൾക്കായി ജമ്പ് നിർദ്ദേശങ്ങൾ മാറ്റുക.

-mcpu=[210⎪340]
ടാർഗെറ്റ് ഹാർഡ്‌വെയറിൽ സിപിയു തരം തിരഞ്ഞെടുക്കുക. ഇത് ഏത് നിർദ്ദേശങ്ങളായിരിക്കണമെന്ന് നിയന്ത്രിക്കുന്നു
ഒത്തുകൂടി.

-ഇ.ബി ഒരു വലിയ എൻഡിയൻ ടാർഗെറ്റിനായി കൂട്ടിച്ചേർക്കുക.

-ഇഎൽ ഒരു ചെറിയ എൻഡിയൻ ലക്ഷ്യത്തിനായി കൂട്ടിച്ചേർക്കുക.

MMIX-നിർദ്ദിഷ്ട ഓപ്ഷനുകളുടെ ഡോക്യുമെന്റേഷനായി വിവര പേജുകൾ കാണുക.

ഒരു Xtensa പ്രൊസസറിനായി കോൺഫിഗർ ചെയ്യുമ്പോൾ ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ ലഭ്യമാണ്.

--ടെക്സ്റ്റ്-സെക്ഷൻ-ലിറ്ററലുകൾ --no-text-section-literals
കൂടെ --ടെക്സ്റ്റ്-സെക്ഷൻ-ലിറ്ററലുകൾ, ലിറ്ററൽ പൂളുകൾ ടെക്സ്റ്റ് വിഭാഗത്തിൽ ഇടകലർന്നിരിക്കുന്നു. ദി
സ്ഥിരസ്ഥിതിയാണ് --no-text-section-literals, അക്ഷരങ്ങൾ ഒരു പ്രത്യേക വിഭാഗത്തിൽ സ്ഥാപിക്കുന്നു
ഔട്ട്പുട്ട് ഫയൽ. ഈ ഓപ്‌ഷനുകൾ PC-ബന്ധുവായ "L32R" വഴി പരാമർശിക്കുന്ന അക്ഷരങ്ങളെ മാത്രമേ ബാധിക്കുകയുള്ളൂ
നിർദ്ദേശങ്ങൾ; സമ്പൂർണ്ണ മോഡ് "L32R" നിർദ്ദേശങ്ങൾ പ്രത്യേകം കൈകാര്യം ചെയ്യുന്നു.

--സമ്പൂർണ-അക്ഷരങ്ങൾ --നല്ല-കേവല-അക്ഷരങ്ങൾ
"L32R" നിർദ്ദേശങ്ങൾ കേവലമോ PC-ആപേക്ഷികമോ ഉപയോഗിക്കുന്നുണ്ടോ എന്ന് അസംബ്ലറോട് സൂചിപ്പിക്കുക
അഭിസംബോധന. Xtensa പ്രോസസർ ആണെങ്കിൽ പൂർണ്ണമായ വിലാസം അനുമാനിക്കുക എന്നതാണ് സ്ഥിരസ്ഥിതി
സമ്പൂർണ്ണ "L32R" അഡ്രസിംഗ് ഓപ്ഷൻ ഉൾപ്പെടുന്നു. അല്ലെങ്കിൽ, പിസി-ബന്ധു മാത്രം
"L32R" മോഡ് ഉപയോഗിക്കാം.

--ടാർഗെറ്റ്-അലൈൻ --നോ-ടാർഗെറ്റ്-അലൈൻ
ചെലവിൽ ബ്രാഞ്ച് പിഴകൾ കുറയ്ക്കുന്നതിന് ഓട്ടോമാറ്റിക് അലൈൻമെന്റ് പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക
ചില കോഡ് സാന്ദ്രത. സ്ഥിരസ്ഥിതിയാണ് --ടാർഗെറ്റ്-അലൈൻ.

--നീണ്ട കോളുകൾ --നോ-ലോംഗ് കോളുകൾ
കൂടുതൽ കോളുകൾ അനുവദിക്കുന്നതിന് കോൾ നിർദ്ദേശങ്ങളുടെ പരിവർത്തനം പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക
വിലാസങ്ങളുടെ ശ്രേണി. സ്ഥിരസ്ഥിതിയാണ് --നോ-ലോംഗ് കോളുകൾ.

--പരിവർത്തനം --നോ-ട്രാൻസ്ഫോം
Xtensa നിർദ്ദേശങ്ങളുടെ എല്ലാ അസംബ്ലർ പരിവർത്തനങ്ങളും പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക. സ്ഥിരസ്ഥിതി
is --പരിവർത്തനം; --നോ-ട്രാൻസ്ഫോം അപൂർവ സന്ദർഭങ്ങളിൽ മാത്രമേ ഉപയോഗിക്കാവൂ
നിർദ്ദേശങ്ങൾ അസംബ്ലി ഉറവിടത്തിൽ കൃത്യമായി വ്യക്തമാക്കിയിരിക്കണം.

onworks.net സേവനങ്ങൾ ഉപയോഗിച്ച് ഓൺലൈനായി h8300-hitachi-coff-ഉപയോഗിക്കുക


സൗജന്യ സെർവറുകളും വർക്ക്സ്റ്റേഷനുകളും

Windows & Linux ആപ്പുകൾ ഡൗൺലോഡ് ചെയ്യുക

  • 1
    ഫയർബേർഡ്
    ഫയർബേർഡ്
    Firebird RDBMS ANSI SQL സവിശേഷതകൾ വാഗ്ദാനം ചെയ്യുന്നു
    & Linux, Windows &-ൽ പ്രവർത്തിക്കുന്നു
    നിരവധി Unix പ്ലാറ്റ്‌ഫോമുകൾ. സവിശേഷതകൾ
    മികച്ച സമന്വയവും പ്രകടനവും
    & ശക്തി...
    Firebird ഡൗൺലോഡ് ചെയ്യുക
  • 2
    KompoZer
    KompoZer
    KompoZer ഉപയോഗിക്കുന്ന ഒരു wysiwyg HTML എഡിറ്ററാണ്
    മോസില്ല കമ്പോസർ കോഡ്ബേസ്. പോലെ
    എൻവുവിന്റെ വികസനം നിർത്തി
    2005-ൽ, KompoZer നിരവധി ബഗുകൾ പരിഹരിച്ചു
    ഒരു f ചേർക്കുന്നു...
    KompoZer ഡൗൺലോഡ് ചെയ്യുക
  • 3
    സൗജന്യ മാംഗ ഡൗൺലോഡർ
    സൗജന്യ മാംഗ ഡൗൺലോഡർ
    സ്വതന്ത്ര മാംഗ ഡൗൺലോഡർ (FMD) ആണ്
    ഓപ്പൺ സോഴ്സ് ആപ്ലിക്കേഷൻ എഴുതിയിരിക്കുന്നു
    ഒബ്ജക്റ്റ്-പാസ്കൽ കൈകാര്യം ചെയ്യുന്നതിനും
    വിവിധ വെബ്‌സൈറ്റുകളിൽ നിന്ന് മാംഗ ഡൗൺലോഡ് ചെയ്യുന്നു.
    ഇതൊരു കണ്ണാടിയാണ്...
    സൗജന്യ മാംഗ ഡൗൺലോഡർ ഡൗൺലോഡ് ചെയ്യുക
  • 4
    എറ്റ്ബൂട്ടിൻ
    എറ്റ്ബൂട്ടിൻ
    ബൂട്ടബിൾ സൃഷ്ടിക്കാൻ UNetbootin നിങ്ങളെ അനുവദിക്കുന്നു
    ഉബുണ്ടു, ഫെഡോറ, കൂടാതെ തത്സമയ USB ഡ്രൈവുകൾ
    കൂടാതെ മറ്റ് ലിനക്സ് വിതരണങ്ങൾ
    ഒരു സിഡി കത്തിക്കുന്നു. ഇത് വിൻഡോസ്, ലിനക്സ് എന്നിവയിൽ പ്രവർത്തിക്കുന്നു,
    ഒപ്പം ...
    UNetbootin ഡൗൺലോഡ് ചെയ്യുക
  • 5
    ഡോളിബാർ ഇആർപി - സിആർഎം
    ഡോളിബാർ ഇആർപി - സിആർഎം
    Dolibarr ERP - CRM ഉപയോഗിക്കാൻ എളുപ്പമാണ്
    ERP, CRM ഓപ്പൺ സോഴ്‌സ് സോഫ്റ്റ്‌വെയർ പാക്കേജ്
    (ഒരു വെബ് php സെർവർ ഉപയോഗിച്ച് പ്രവർത്തിപ്പിക്കുക അല്ലെങ്കിൽ
    സ്വതന്ത്ര സോഫ്റ്റ്‌വെയർ) ബിസിനസുകൾക്കായി,
    അടിസ്ഥാനങ്ങൾ...
    Dolibarr ERP - CRM ഡൗൺലോഡ് ചെയ്യുക
  • 6
    SQuirreL SQL ക്ലയന്റ്
    SQuirreL SQL ക്ലയന്റ്
    SQuirreL SQL ക്ലയന്റ് ഒരു ഗ്രാഫിക്കൽ SQL ആണ്
    അനുവദിക്കുന്ന ജാവയിൽ എഴുതിയ ക്ലയന്റ്
    നിങ്ങൾ ഒരു JDBC യുടെ ഘടന കാണാൻ
    അനുയോജ്യമായ ഡാറ്റാബേസ്, ഡാറ്റ ബ്രൗസ് ചെയ്യുക
    മേശകൾ...
    SQuirreL SQL ക്ലയന്റ് ഡൗൺലോഡ് ചെയ്യുക
  • കൂടുതൽ "

ലിനക്സ് കമാൻഡുകൾ

Ad