ഇംഗ്ലീഷ്ഫ്രഞ്ച്സ്പാനിഷ്

Ad


OnWorks ഫെവിക്കോൺ

iverilog - ക്ലൗഡിൽ ഓൺലൈനിൽ

ഉബുണ്ടു ഓൺലൈൻ, ഫെഡോറ ഓൺലൈൻ, വിൻഡോസ് ഓൺലൈൻ എമുലേറ്റർ അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിവയിലൂടെ OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് ദാതാവിൽ iverilog പ്രവർത്തിപ്പിക്കുക

Ubuntu Online, Fedora Online, Windows online emulator അല്ലെങ്കിൽ MAC OS ഓൺലൈൻ എമുലേറ്റർ എന്നിങ്ങനെയുള്ള ഞങ്ങളുടെ ഒന്നിലധികം സൗജന്യ ഓൺലൈൻ വർക്ക്‌സ്റ്റേഷനുകളിലൊന്ന് ഉപയോഗിച്ച് OnWorks സൗജന്യ ഹോസ്റ്റിംഗ് ദാതാവിൽ പ്രവർത്തിപ്പിക്കാൻ കഴിയുന്ന iverilog കമാൻഡ് ആണിത്.

പട്ടിക:

NAME


iverilog - Icarus Verilog കമ്പൈലർ

സിനോപ്സിസ്


ഐവറിലോഗ് [-ESVv] [-Bpath] [-ccmdfile|-fcmdfile] [-Dmacro[=defn]] [-pflag=value] [-dname]
[-g1995|-g2001|-g2005|-g ] [-Includedir] [-mmodule] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] sourcefile

വിവരണം


ഐവറിലോഗ് വെരിലോഗ് സോഴ്‌സ് കോഡിനെ എക്‌സിക്യൂട്ടബിൾ പ്രോഗ്രാമുകളിലേക്ക് വിവർത്തനം ചെയ്യുന്ന ഒരു കമ്പൈലറാണ്
സിമുലേഷൻ, അല്ലെങ്കിൽ കൂടുതൽ പ്രോസസ്സിംഗിനുള്ള മറ്റ് നെറ്റ്‌ലിസ്റ്റ് ഫോർമാറ്റുകൾ. നിലവിൽ പിന്തുണയ്ക്കുന്നു
ലക്ഷ്യങ്ങൾ ആകുന്നു vp സിമുലേഷനായി, ഒപ്പം fpga സിന്തസിസ് വേണ്ടി. മറ്റ് ടാർഗെറ്റ് തരങ്ങൾ ഇങ്ങനെ ചേർത്തിരിക്കുന്നു
കോഡ് ജനറേറ്ററുകൾ നടപ്പിലാക്കുന്നു.

ഓപ്ഷനുകൾ


ഐവറിലോഗ് ഇനിപ്പറയുന്ന ഓപ്ഷനുകൾ സ്വീകരിക്കുന്നു:

-Bഅടിസ്ഥാനം ദി ഐവറിലോഗ് പ്രീപ്രോസസ് ചെയ്യുന്നതിന് പ്രോഗ്രാം ബാഹ്യ പ്രോഗ്രാമുകളും കോൺഫിഗറേഷൻ ഫയലുകളും ഉപയോഗിക്കുന്നു
വെരിലോഗ് ഉറവിടം സമാഹരിക്കുക. സാധാരണയായി, ഈ ഉപകരണങ്ങൾ കണ്ടെത്താൻ ഉപയോഗിക്കുന്ന പാതയാണ്
എന്നതിലേക്ക് നിർമ്മിച്ചിരിക്കുന്നു ഐവറിലോഗ് പ്രോഗ്രാം. എന്നിരുന്നാലും, ദി -B സ്വിച്ച് ഉപയോക്താവിനെ തിരഞ്ഞെടുക്കാൻ അനുവദിക്കുന്നു
വ്യത്യസ്തമായ ഒരു കൂട്ടം പ്രോഗ്രാമുകൾ. നൽകിയിരിക്കുന്ന പാത കണ്ടെത്താൻ ഉപയോഗിക്കുന്നു ഐവിഎൽപിപി, ivl, കോഡ്
ജനറേറ്ററുകളും VPI മൊഡ്യൂളുകളും.

-cഫയല് -fഫയല്
ഈ ഫ്ലാഗുകൾ വെരിലോഗ് സോഴ്സ് ഫയലുകളുടെ ഒരു ലിസ്റ്റ് അടങ്ങുന്ന ഒരു ഇൻപുട്ട് ഫയൽ വ്യക്തമാക്കുന്നു.
ഇത് സമാനമാണ് കമാൻഡ് ഫയല് മറ്റ് വെരിലോഗ് സിമുലേറ്ററുകളിൽ, അത് എ
കമാൻഡ് ലൈനിൽ എടുക്കുന്നതിനുപകരം ഫയലിന്റെ പേരുകൾ ഉൾക്കൊള്ളുന്ന ഫയൽ. കാണുക
കമാൻഡ് ഫയലുകൾ താഴെ.

-Dമാക്രോ മാക്രോ നിർവചിക്കുന്നു മാക്രോ സ്ട്രിംഗ് `1' അതിന്റെ നിർവചനമായി. ഈ ഫോം സാധാരണമാണ്
Verilog ഉറവിടത്തിൽ ifdef കണ്ടീഷനലുകൾ പ്രവർത്തനക്ഷമമാക്കാൻ മാത്രമാണ് ഉപയോഗിക്കുന്നത്.

-Dമാക്രോ=പ്രതിരോധം
മാക്രോ നിർവചിക്കുന്നു മാക്രോ as defn.

-dപേര് കംപൈലർ ഡീബഗ്ഗിംഗ് സന്ദേശങ്ങളുടെ ഒരു ക്ലാസ് സജീവമാക്കുക. ദി -d സ്വിച്ച് ആയി ഉപയോഗിക്കാം
ആവശ്യമുള്ള എല്ലാ സന്ദേശങ്ങളും സജീവമാക്കുന്നതിന് പലപ്പോഴും ആവശ്യമാണ്. പിന്തുണയ്ക്കുന്ന പേരുകൾ
സ്കോപ്പുകൾ, eval_tree, വിപുലമായ, synth2; മറ്റേതെങ്കിലും പേരുകൾ അവഗണിക്കപ്പെടുന്നു.

-E വെരിലോഗ് ഉറവിടം മുൻകൂട്ടി പ്രോസസ്സ് ചെയ്യുക, പക്ഷേ അത് കംപൈൽ ചെയ്യരുത്. ഔട്ട്പുട്ട് ഫയൽ ആണ്
വെരിലോഗ് ഇൻപുട്ട്, എന്നാൽ ഫയൽ ഉൾപ്പെടുത്തലുകളും മാക്രോ റഫറൻസുകളും വിപുലീകരിക്കുകയും നീക്കം ചെയ്യുകയും ചെയ്തു.
ഇത് ഉപകാരപ്രദമാണ്, ഉദാഹരണത്തിന്, മറ്റുള്ളവരുടെ ഉപയോഗത്തിനായി വെരിലോഗ് ഉറവിടം പ്രീപ്രോസസ് ചെയ്യാൻ
കമ്പൈലറുകൾ.

-g1995|-g2001|-g2001-noconfig|-g2005
വെരിലോഗ് ഭാഷ തിരഞ്ഞെടുക്കുക തലമുറ കംപൈലറിൽ പിന്തുണയ്ക്കാൻ. ഇത് തിരഞ്ഞെടുക്കുന്നു
തമ്മിലുള്ള IEEE1364-1995, IEEE1364-2001, അഥവാ IEEE1364-2005. സാധാരണയായി, Icarus Verilog
ഭാഷയുടെ ഏറ്റവും പുതിയ അറിയപ്പെടുന്ന തലമുറയിലേക്കുള്ള ഡിഫോൾട്ടുകൾ. ഈ പതാക ഏറ്റവും ഉപയോഗപ്രദമാണ്
നിർദ്ദിഷ്ട തലമുറകളുടെ ടൂളുകൾ പിന്തുണയ്ക്കുന്ന ഒരു സെറ്റിലേക്ക് ഭാഷ പരിമിതപ്പെടുത്തുന്നതിന്
മറ്റ് ഉപകരണങ്ങളുമായി അനുയോജ്യത.

-gverilog-ams|-gno-verilog-ams
Verilog-AMS-നുള്ള പിന്തുണ പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക (സ്ഥിരസ്ഥിതി). വളരെ കുറച്ച് വെരിലോഗ്-എഎംഎസ്
നിർദ്ദിഷ്ട പ്രവർത്തനം നിലവിൽ പിന്തുണയ്ക്കുന്നു.

-ജി വ്യക്തമാക്കുക|-gno-specify
പ്രവർത്തനക്ഷമമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക (സ്ഥിരസ്ഥിതി) ബ്ലോക്ക് പിന്തുണ വ്യക്തമാക്കുക. പ്രവർത്തനക്ഷമമാക്കുമ്പോൾ, ബ്ലോക്ക് വ്യക്തമാക്കുക
കോഡ് വിശദമാക്കിയിരിക്കുന്നു. പ്രവർത്തനരഹിതമാക്കുമ്പോൾ, ബ്ലോക്കുകൾ പാഴ്‌സ് ചെയ്‌തെങ്കിലും അവഗണിച്ചുവെന്ന് വ്യക്തമാക്കുക. വ്യക്തമാക്കുക
RTL സിമുലേഷന് ബ്ലോക്കുകൾ സാധാരണയായി ആവശ്യമില്ല, വാസ്തവത്തിൽ ഇത് ദോഷം ചെയ്യും
സിമുലേഷന്റെ പ്രകടനം. എന്നിരുന്നാലും, നിർദ്ദിഷ്ട ബ്ലോക്കുകൾ പ്രവർത്തനരഹിതമാക്കുന്നത് കൃത്യത കുറയ്ക്കുന്നു
മുഴുവൻ സമയ സിമുലേഷനുകളുടെ.

-gstd-ഉൾപ്പെടുന്നു|-gno-std-ഉൾപ്പെടുന്നു
ഒരു സ്റ്റാൻഡേർഡ് ഇൻസ്റ്റാളേഷന്റെ തിരയൽ പ്രവർത്തനക്ഷമമാക്കുക (സ്ഥിരസ്ഥിതി) അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക
മറ്റെല്ലാ വ്യക്തമായ ഡയറക്‌ടറികൾക്കും ശേഷം ഡയറക്‌ടറി. ഈ മാനദണ്ഡം ഉൾപ്പെടുന്നു
വെരിലോഗ് സ്റ്റാൻഡേർഡ് ഹെഡർ ഫയലുകൾ ഇൻസ്റ്റാൾ ചെയ്യുന്നതിനുള്ള സൗകര്യപ്രദമായ സ്ഥലമാണ് ഡയറക്ടറി
പ്രോഗ്രാം ഉൾപ്പെട്ടേക്കാം.

വലിയ-ഉൾപ്പെടുന്നു|-ജ്ഞോ-ബന്ധു-ഉൾപ്പെടുന്നു
പ്രാപ്തമാക്കുക അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക (സ്ഥിരസ്ഥിതി) ആരംഭത്തിൽ പ്രാദേശിക ഫയലുകളുടെ ഡയറക്‌ടറി ചേർക്കുക
ഫയൽ തിരയൽ പാത ഉൾപ്പെടുന്നു. ഇതുമായി ബന്ധപ്പെട്ട ഫയലുകൾ ഉൾപ്പെടുത്താൻ ഇത് അനുവദിക്കുന്നു
നിലവിലുള്ള ഫയൽ അല്ല കൂടുതൽ സാധാരണ ഫയലുകൾ വർക്കിംഗ് ഡയറക്ടറിയിൽ മാത്രം കാണപ്പെടുന്നു അല്ലെങ്കിൽ
നിർദ്ദിഷ്ട ഫയൽ തിരയൽ പാതയിൽ ഉൾപ്പെടുത്തുക.

-gxtypes|-gno-xtypes
വിപുലീകൃത തരങ്ങൾക്കുള്ള പിന്തുണ പ്രവർത്തനക്ഷമമാക്കുക (സ്ഥിരസ്ഥിതി) അല്ലെങ്കിൽ പ്രവർത്തനരഹിതമാക്കുക. വിപുലീകൃത തരങ്ങൾ പ്രവർത്തനക്ഷമമാക്കുന്നു
എന്നതിനപ്പുറമുള്ള വിപുലീകരണങ്ങളായി Icarus Verilog പിന്തുണയ്ക്കുന്ന പുതിയ തരങ്ങളെ അനുവദിക്കുന്നു
അടിസ്ഥാനരേഖ വെരിലോഗ്. കോഡ് കംപൈൽ ചെയ്യുകയാണെങ്കിൽ വിപുലീകൃത തരങ്ങൾ പ്രവർത്തനരഹിതമാക്കേണ്ടത് ആവശ്യമായി വന്നേക്കാം
ടൈപ്പ് സിസ്റ്റം നടപ്പിലാക്കാൻ ഉപയോഗിക്കുന്ന കുറച്ച് പുതിയ കീവേഡുകളുമായി അത് ഏറ്റുമുട്ടുന്നു.

-ജിയോ-റേഞ്ച്-പിശക്|-gno-io-range-error
വെക്‌റ്റർ ചെയ്‌ത പോർട്ടിന് അതിന്റെ പോർട്ടിന് അനുയോജ്യമായ ശ്രേണികൾ ഉണ്ടായിരിക്കണമെന്ന് മാനദണ്ഡങ്ങൾ ആവശ്യപ്പെടുന്നു
ഡിക്ലറേഷനും അതുപോലെ ഏതെങ്കിലും നെറ്റ്/രജിസ്റ്റർ ഡിക്ലറേഷനും. യിൽ ഇത് പതിവായിരുന്നു
നെറ്റ്/രജിസ്റ്റർ ഡിക്ലറേഷനും ചില ടൂളുകൾക്കുമുള്ള ശ്രേണി മാത്രം വ്യക്തമാക്കാൻ കഴിഞ്ഞത്
ഇപ്പോഴും ഇത് അനുവദിക്കുക. സ്ഥിരസ്ഥിതിയായി ഏതെങ്കിലും പൊരുത്തക്കേട് ഒരു പിശകായി റിപ്പോർട്ട് ചെയ്യപ്പെടുന്നു. ഉപയോഗിക്കുന്നത്
-gno-io-range-error കേസിന് മാരകമായ പിശകിന് പകരം മുന്നറിയിപ്പ് നൽകും
ഒരു വെക്റ്റർ നെറ്റ്/രജിസ്‌റ്ററിന്റെയും സ്‌കെലാർ പോർട്ട് ഡിക്ലറേഷന്റെയും.

-gstrict-ca-eval|-ഗ്നോ-സ്ട്രിക്റ്റ്-കാ-ഇവൽ
തുടർച്ചയായ അസൈൻമെന്റ് എക്‌സ്‌പ്രഷനിലേക്ക് എന്തെങ്കിലും ഇൻപുട്ട് ഉണ്ടെങ്കിൽ അത് സ്റ്റാൻഡേർഡ് ആവശ്യപ്പെടുന്നു
മൂല്യം മാറുന്നു, മുഴുവൻ പദപ്രയോഗവും വീണ്ടും വിലയിരുത്തുന്നു. സ്ഥിരസ്ഥിതിയായി, ഇതിന്റെ ഭാഗങ്ങൾ
മാറിയ ഇൻപുട്ട് മൂല്യത്തെ(കളെ) ആശ്രയിക്കാത്ത എക്സ്പ്രഷൻ വീണ്ടും മൂല്യനിർണ്ണയം ചെയ്യപ്പെടുന്നില്ല.
ഒരു പദപ്രയോഗത്തിൽ അതിനെ മാത്രം ആശ്രയിക്കാത്ത ഒരു ഫംഗ്‌ഷനിലേക്കുള്ള കോൾ അടങ്ങിയിട്ടുണ്ടെങ്കിൽ
ഇൻപുട്ട് മൂല്യങ്ങൾ അല്ലെങ്കിൽ പാർശ്വഫലങ്ങൾ ഉള്ളതിനാൽ, ഫലമായുണ്ടാകുന്ന സ്വഭാവം വ്യത്യസ്തമായിരിക്കും
സ്റ്റാൻഡേർഡ് അനുസരിച്ച് അത് ആവശ്യമാണ്. ഉപയോഗിക്കുന്നത് -gstrict-ca-eval നിർബന്ധിത സ്റ്റാൻഡേർഡ് ചെയ്യും
അനുരൂപമായ പെരുമാറ്റം (പ്രകടനത്തിൽ ചില നഷ്ടങ്ങളോടെ).

-Iഉൾപ്പെടുത്തി
ഡയറക്ടറി കൂട്ടിച്ചേർക്കുക ഉൾപ്പെടുത്തി വെരിലോഗിനായി തിരഞ്ഞ ഡയറക്ടറികളുടെ പട്ടികയിൽ ഉൾപ്പെടുന്നു
ഫയലുകൾ. എസ് -I പല ഡയറക്‌ടറികളും വ്യക്തമാക്കുന്നതിന് സ്വിച്ച് പലതവണ ഉപയോഗിച്ചേക്കാം
തിരയുക, ഡയറക്ടറികൾ കമാൻഡ് ലൈനിൽ ദൃശ്യമാകുന്ന ക്രമത്തിലാണ് തിരയുന്നത്.

-Mപാത പാത്ത് വ്യക്തമാക്കിയ ഫയലിലേക്ക് സംഭാവന ചെയ്യുന്ന ഫയലുകളുടെ ഒരു ലിസ്റ്റ് എഴുതുക
ഡിസൈനിന്റെ സമാഹാരം. ഇതിൽ ഉൾപ്പെടുത്തിയിട്ടുള്ള ഫയലുകൾ ഉൾപ്പെടുന്നു
ലൈബ്രറി പിന്തുണയാൽ സ്വയമേവ ലോഡ് ചെയ്യുന്ന നിർദ്ദേശങ്ങളും ഫയലുകളും. ഔട്ട്പുട്ട്
ഒരു ലൈനിന് ഒരു ഫയൽ നാമമാണ്, ലീഡിംഗ് അല്ലെങ്കിൽ ട്രെയിലിംഗ് ഇടമില്ല.

-mമൊഡ്യൂൾ
സിമുലേഷൻ ലോഡുചെയ്യേണ്ട VPI മൊഡ്യൂളുകളുടെ പട്ടികയിലേക്ക് ഈ മൊഡ്യൂൾ ചേർക്കുക. പലതും
മൊഡ്യൂളുകൾ വ്യക്തമാക്കാൻ കഴിയും, വ്യക്തമാക്കിയ ക്രമത്തിൽ എല്ലാം ലോഡ് ചെയ്യപ്പെടും. ദി
സിസ്റ്റം മൊഡ്യൂൾ അവ്യക്തവും എല്ലായ്പ്പോഴും ഉൾപ്പെടുത്തിയിട്ടുള്ളതുമാണ്. ഒരു സിസ്റ്റം ഫംഗ്‌ഷൻ ടേബിൾ ഫയൽ ആണെങ്കിൽ
( മൊഡ്യൂളിനായി .sft) നിലവിലുണ്ട്, അത് സ്വയമേവ ലോഡ് ചെയ്യും.

-Nപാത കംപൈലർ ശരിയായി ഡീബഗ്ഗ് ചെയ്യാൻ ഇത് ഉപയോഗിക്കുന്നു. എന്നതിന്റെ അവസാന നെറ്റ്‌ലിസ്റ്റ് ഫോം ഉപേക്ഷിക്കുക
നിർദ്ദിഷ്ട ഫയലിലേക്ക് രൂപകൽപ്പന ചെയ്യുക. അല്ലെങ്കിൽ, ഇത് പ്രവർത്തനത്തെ ബാധിക്കില്ല
കമ്പൈലർ. ഡിസൈൻ വിശദമാക്കുകയും ഒപ്റ്റിമൈസ് ചെയ്യുകയും ചെയ്തതിന് ശേഷമാണ് ഡംപ് സംഭവിക്കുന്നത്.

-o ഫയലിന്റെ പേര്
ഫയലിൽ ഔട്ട്പുട്ട് സ്ഥാപിക്കുക ഫയലിന്റെ പേര്. ഔട്ട്‌പുട്ട് ഫയലിന്റെ പേര് വ്യക്തമാക്കിയിട്ടില്ലെങ്കിൽ, ഐവറിലോഗ്
സ്ഥിരസ്ഥിതി നാമം ഉപയോഗിക്കുന്നു a.out.

-pപതാക=മൂല്യം
ടാർഗെറ്റ് നിർദ്ദിഷ്ട ഫ്ലാഗിന് ഒരു മൂല്യം നൽകുക. ദി -p സ്വിച്ച് പലപ്പോഴും ഉപയോഗിക്കാം
ആവശ്യമുള്ള എല്ലാ ഫ്ലാഗുകളും വ്യക്തമാക്കേണ്ടത് ആവശ്യമാണ്. ഉപയോഗിക്കുന്ന പതാകകൾ ഇതിനെ ആശ്രയിച്ചിരിക്കുന്നു
തിരഞ്ഞെടുത്ത ടാർഗെറ്റ്, ടാർഗെറ്റ് നിർദ്ദിഷ്ട ഡോക്യുമെന്റേഷനിൽ വിവരിച്ചിരിക്കുന്നു. പതാകകൾ
ഉപയോഗിക്കാത്തവ അവഗണിക്കപ്പെടുന്നു.

-S സമന്വയിപ്പിക്കുക. സാധാരണയായി, ലക്ഷ്യത്തിന് പെരുമാറ്റ വിവരണങ്ങൾ സ്വീകരിക്കാൻ കഴിയുമെങ്കിൽ
കംപൈലർ പ്രക്രിയകളെ പെരുമാറ്റ രൂപത്തിൽ വിടും. ദി -S സ്വിച്ച് കാരണമാകുന്നു
ടാർഗെറ്റിന് ആവശ്യമില്ലെങ്കിൽ പോലും സമന്വയം നടത്താൻ കമ്പൈലർ. എങ്കിൽ
ടാർഗെറ്റ് തരം ഒരു നെറ്റ്‌ലിസ്റ്റ് ഫോർമാറ്റാണ്, the -S സ്വിച്ച് അനാവശ്യമാണ്, ഫലമില്ല.

-s ടോപ്പ്മോഡ്യൂൾ
വിശദമാക്കുന്നതിന് ഉയർന്ന തലത്തിലുള്ള മൊഡ്യൂൾ വ്യക്തമാക്കുക. Icarus Verilog സ്ഥിരസ്ഥിതിയായി തിരഞ്ഞെടുക്കും
മറ്റ് മൊഡ്യൂളുകളിൽ ഉടനടി നൽകാത്ത മൊഡ്യൂളുകൾ, എന്നാൽ ചിലപ്പോൾ അങ്ങനെയല്ല
മതിയായ, അല്ലെങ്കിൽ നിരവധി മൊഡ്യൂളുകൾ തൽക്ഷണം ചെയ്യുന്നു. ഉപയോക്താവ് ഒന്നോ അതിലധികമോ വ്യക്തമാക്കുകയാണെങ്കിൽ
കൂടെ റൂട്ട് മൊഡ്യൂളുകൾ -s ഫ്ലാഗുകൾ, പിന്നീട് അവ റൂട്ട് മൊഡ്യൂളുകളായി ഉപയോഗിക്കും.

-Tമിനിറ്റ്|ടൈപ്പ്|പരമാവധി
min:typ:max എക്‌സ്‌പ്രഷനുകളിൽ നിന്ന് മിനിറ്റ്, ടൈപ്പ് അല്ലെങ്കിൽ പരമാവധി തവണ തിരഞ്ഞെടുക്കാൻ ഈ സ്വിച്ച് ഉപയോഗിക്കുക.
സാധാരണയായി, കംപൈലർ ഈ എക്സ്പ്രഷനുകളിൽ നിന്നുള്ള ടൈപ്പ് മൂല്യം ഉപയോഗിക്കും
(അത് കണ്ടെത്തുന്ന ആദ്യ പത്തിന് ഒരു മുന്നറിയിപ്പ് അച്ചടിക്കുന്നു) എന്നാൽ ഈ സ്വിച്ച് അത് പറയും
ഏത് മൂല്യമാണ് ഉപയോഗിക്കേണ്ടതെന്ന് വ്യക്തമായി കമ്പൈലർ. എന്ന മുന്നറിയിപ്പിനെ ഇത് അടിച്ചമർത്തും
കമ്പൈലർ ഒരു തിരഞ്ഞെടുപ്പ് നടത്തുന്നു.

-tലക്ഷ്യം
ടാർഗെറ്റ് ഔട്ട്പുട്ട് ഫോർമാറ്റ് വ്യക്തമാക്കാൻ ഈ സ്വിച്ച് ഉപയോഗിക്കുക. കാണുക ടാർഗെറ്റുകൾ താഴെയുള്ള വിഭാഗം
സാധുതയുള്ള ഔട്ട്പുട്ട് ഫോർമാറ്റുകളുടെ ഒരു ലിസ്റ്റിനായി.

-v വാചാലമായ സന്ദേശങ്ങൾ ഓണാക്കുക. ഇത് എക്സിക്യൂട്ട് ചെയ്ത കമാൻഡ് ലൈനുകൾ പ്രിന്റ് ചെയ്യും
വിവിധ പതിപ്പുകളിൽ നിന്നുള്ള പതിപ്പ് വിവരങ്ങൾക്കൊപ്പം യഥാർത്ഥ സമാഹാരം നടത്തുക
ഘടകങ്ങൾ, അതുപോലെ ഉൽപ്പന്നത്തിന്റെ മൊത്തത്തിലുള്ള പതിപ്പ്. നിങ്ങൾ ശ്രദ്ധിക്കും
കമാൻഡ് ലൈനുകളിൽ കടന്നുപോകുന്ന ഒരു പ്രധാന താൽക്കാലിക ഫയലിലേക്കുള്ള റഫറൻസ് ഉൾപ്പെടുന്നു
കമ്പൈലറിന് ശരിയായ വിവരങ്ങൾ. ആ ഫയൽ ഇല്ലാതാക്കപ്പെടാതെ സൂക്ഷിക്കാൻ
പ്രക്രിയയുടെ അവസാനം, പരിസ്ഥിതി വേരിയബിളിൽ നിങ്ങളുടേതായ ഒരു ഫയൽ നാമം നൽകുക
IVERILOG_ICONFIG.

-V കമ്പൈലറിന്റെ പതിപ്പ് പ്രിന്റ് ചെയ്ത് പുറത്തുകടക്കുക.

-Wക്ലാസ് മുന്നറിയിപ്പുകളുടെ വിവിധ ക്ലാസുകൾ ഓണാക്കുക. കാണുക മുന്നറിയിപ്പ് ടൈപ്പുകൾ എന്നതിന് താഴെയുള്ള വിഭാഗം
വിവിധ മുന്നറിയിപ്പ് ഗ്രൂപ്പുകളുടെ വിവരണങ്ങൾ. ഒന്നിലധികം എങ്കിൽ -W സ്വിച്ചുകൾ ഉപയോഗിക്കുന്നു,
അഭ്യർത്ഥിച്ച എല്ലാ ക്ലാസുകളുടെയും യൂണിയനാണ് മുന്നറിയിപ്പ് സെറ്റ്.

-yലിബ്ദിർ
ലൈബ്രറി മൊഡ്യൂൾ തിരയൽ പാതയിലേക്ക് ഡയറക്ടറി കൂട്ടിച്ചേർക്കുക. കംപൈലർ ഒരു കണ്ടെത്തുമ്പോൾ
undefined module, ശരിയായ പേരുള്ള ഫയലുകൾക്കായി ഇത് ഈ ഡയറക്ടറികളിൽ നോക്കുന്നു.

-Yസഫിക്സ്
എ തിരയുമ്പോൾ ഉപയോഗിക്കുന്ന അംഗീകൃത ഫയൽ നാമ സഫിക്സുകളുടെ പട്ടികയിലേക്ക് സഫിക്സ് ചേർക്കുക
സെല്ലുകൾക്കുള്ള ലൈബ്രറി. ഒറ്റ എൻട്രിയിലേക്ക് ലിസ്റ്റ് ഡിഫോൾട്ടാണ് .v.

മൊഡ്യൂൾ ലൈബ്രറികൾ


Icarus Verilog കംപൈലർ, Verilog അടങ്ങുന്ന ഡയറക്ടറികളായി മൊഡ്യൂൾ ലൈബ്രറികളെ പിന്തുണയ്ക്കുന്നു
ഉറവിട ഫയലുകൾ. വിശദീകരിക്കുന്നതിനിടയിൽ, നിർവചിക്കാത്തതിന്റെ തൽക്ഷണം കംപൈലർ ശ്രദ്ധിക്കുന്നു
മൊഡ്യൂൾ തരങ്ങൾ. ഉപയോക്താവ് ലൈബ്രറി തിരയൽ ഡയറക്‌ടറികൾ വ്യക്തമാക്കിയാൽ, കംപൈലർ തിരയും
നഷ്ടപ്പെട്ട മൊഡ്യൂൾ തരം പേരുള്ള ഫയലുകൾക്കുള്ള ഡയറക്ടറി. അത്തരമൊരു ഫയൽ കണ്ടെത്തിയാൽ,
ഇത് ഒരു വെരിലോഗ് സോഴ്‌സ് ഫയലായി ലോഡ് ചെയ്യുന്നു, അവർ മൊഡ്യൂൾ വിശദീകരിക്കാൻ വീണ്ടും ശ്രമിക്കുന്നു.

ലൈബ്രറി മൊഡ്യൂൾ ഫയലുകളിൽ ഒരൊറ്റ മൊഡ്യൂൾ മാത്രമേ അടങ്ങിയിരിക്കാവൂ, എന്നാൽ ഇത് ഒരു ആവശ്യകതയല്ല.
ലൈബ്രറി മൊഡ്യൂളുകൾ ലൈബ്രറിയിലോ പ്രധാന രൂപകൽപ്പനയിലോ ഉള്ള മറ്റ് മൊഡ്യൂളുകളെ പരാമർശിച്ചേക്കാം.

ടാർഗെറ്റുകൾ


Icarus Verilog കംപൈലർ വിവിധ ലക്ഷ്യങ്ങളെ പിന്തുണയ്ക്കുന്നു, വ്യത്യസ്ത ആവശ്യങ്ങൾക്കായി, കൂടാതെ
-t ആവശ്യമുള്ള ലക്ഷ്യം തിരഞ്ഞെടുക്കാൻ സ്വിച്ച് ഉപയോഗിക്കുന്നു.

ശൂന്യം ശൂന്യമായ ടാർഗെറ്റ് ഒരു കോഡും സൃഷ്‌ടിക്കുന്നതിന് കാരണമാകില്ല. പരിശോധിക്കാൻ ഇത് ഉപയോഗപ്രദമാണ്
വെരിലോഗ് ഉറവിടത്തിന്റെ വാക്യഘടന.

vp ഇതാണ് സ്ഥിരസ്ഥിതി. vvp ടാർഗെറ്റ് vvp റൺടൈമിനായി കോഡ് സൃഷ്ടിക്കുന്നു. ഔട്ട്പുട്ട്
ഡിസൈൻ അനുകരിക്കുന്ന ഒരു സമ്പൂർണ്ണ പ്രോഗ്രാമാണ്, എന്നാൽ അത് പ്രവർത്തിപ്പിക്കേണ്ടതാണ് vp
കമാൻഡ്.

fpga ഇത് പലതരം fpga ഉപകരണങ്ങളെ പിന്തുണയ്ക്കുന്ന ഒരു സിന്തസിസ് ടാർഗെറ്റാണ്, കൂടുതലും EDIF വഴി
ഫോർമാറ്റ് ഔട്ട്പുട്ട്. Icarus Verilog fpga കോഡ് ജനറേറ്ററിന് പൂർണ്ണമായി ജനറേറ്റുചെയ്യാനാകും
ഡിസൈനുകൾ അല്ലെങ്കിൽ EDIF മാക്രോകൾ മറ്റുള്ളവർക്ക് വലിയ ഡിസൈനുകളിലേക്ക് ഇറക്കുമതി ചെയ്യാൻ കഴിയും
ഉപകരണങ്ങൾ. ദി fpga ലക്ഷ്യം സമന്വയത്തെ സൂചിപ്പിക്കുന്നു -S ഫ്ലാഗ്.

vhdl ഈ ലക്ഷ്യം വെരിലോഗ് നെറ്റ്‌ലിസ്റ്റിന്റെ VHDL വിവർത്തനം നിർമ്മിക്കുന്നു. ഔട്ട്പുട്ട് എ
വെരിലോഗിലെ മൊഡ്യൂളുകൾക്ക് അനുയോജ്യമായ VHDL എന്റിറ്റികൾ അടങ്ങുന്ന ഒരൊറ്റ ഫയൽ
സോഴ്സ് കോഡ്. വെരിലോഗ് ഭാഷയുടെ ഒരു ഉപവിഭാഗം മാത്രമേ പിന്തുണയ്ക്കൂ എന്നത് ശ്രദ്ധിക്കുക. കാണുക
കൂടുതൽ വിവരങ്ങൾക്ക് വിക്കി.

മുന്നറിയിപ്പ് ടൈപ്പുകൾ


ഇത് തിരഞ്ഞെടുക്കാവുന്ന തരത്തിലുള്ള മുന്നറിയിപ്പുകളാണ് -W സ്വിച്ച്. എല്ലാ മുന്നറിയിപ്പും
തരങ്ങൾ (അല്ലാതെ എല്ലാം) എന്ന പ്രിഫിക്‌സും നൽകാം ഇല്ല- ആ മുന്നറിയിപ്പ് ഓഫ് ചെയ്യാൻ. ഇതാണ്
a ശേഷം ഏറ്റവും ഉപകാരപ്രദമായ - മതിൽ ഒറ്റപ്പെട്ട മുന്നറിയിപ്പ് തരങ്ങളെ അടിച്ചമർത്താനുള്ള വാദം.

എല്ലാം ഇത് ഇംപ്ലിസിറ്റ്, പോർട്ട്‌ബൈൻഡ്, സെലക്ട് റേഞ്ച്, ടൈംസ്‌കെയിൽ, കൂടാതെ
സംവേദനക്ഷമത-മുഴുവൻ-അറേ മുന്നറിയിപ്പ് വിഭാഗങ്ങൾ.

അബോധാവസ്ഥ
ഇത് പരോക്ഷമായ പ്രഖ്യാപനങ്ങൾ സൃഷ്ടിക്കുന്നതിനുള്ള മുന്നറിയിപ്പുകൾ പ്രാപ്തമാക്കുന്നു. ഉദാഹരണത്തിന്, എ
സ്കെയിലർ വയർ X ഉപയോഗിക്കുന്നു, പക്ഷേ വെരിലോഗ് ഉറവിടത്തിൽ പ്രഖ്യാപിച്ചിട്ടില്ല, ഇത് ഒരു പ്രിന്റ് ചെയ്യും
ആദ്യ ഉപയോഗത്തിൽ മുന്നറിയിപ്പ്.

പോർട്ട്ബൈൻഡ്
കണക്റ്റുചെയ്യാത്ത മൊഡ്യൂൾ ഇൻസ്റ്റന്റേഷനുകളുടെ പോർട്ടുകൾക്കുള്ള മുന്നറിയിപ്പുകൾ ഇത് പ്രവർത്തനക്ഷമമാക്കുന്നു
പക്ഷേ ഒരുപക്ഷേ ആയിരിക്കണം. ഡാംഗ്ലിംഗ് ഇൻപുട്ട് പോർട്ടുകൾ, ഉദാഹരണത്തിന്, a ജനറേറ്റ് ചെയ്യും
മുന്നറിയിപ്പ്.

സെലക്ട്-റേഞ്ച്
ഇത് സ്ഥിരമായ ഔട്ട് ഓഫ് ബൗണ്ട് സെലക്ടുകൾക്കുള്ള മുന്നറിയിപ്പുകൾ പ്രവർത്തനക്ഷമമാക്കുന്നു. ഇതിൽ ഭാഗികമോ ഉൾപ്പെടുന്നു
പൂർണ്ണമായി ഔട്ട് ഓഫ് ബൗണ്ട് സെലക്‌റ്റുകളും അതുപോലെ ഒരു 'bx അല്ലെങ്കിൽ 'bz അടങ്ങിയിരിക്കുന്ന സെലക്‌റ്റും
സൂചിക.

സമയക്രമം
ടൈംസ്‌കെയിൽ ഡയറക്‌ടീവിന്റെ പൊരുത്തമില്ലാത്ത ഉപയോഗത്തിനുള്ള മുന്നറിയിപ്പുകൾ ഇത് പ്രവർത്തനക്ഷമമാക്കുന്നു. അത് കണ്ടുപിടിക്കുന്നു
ചില മൊഡ്യൂളുകൾക്ക് ടൈംസ്‌കെയിൽ ഇല്ലെങ്കിലോ മൊഡ്യൂളുകൾക്ക് മറ്റൊന്നിൽ നിന്ന് ടൈംസ്‌കെയിൽ അവകാശപ്പെട്ടാലോ
ഫയൽ. രണ്ടും അർത്ഥമാക്കുന്നത് സമയ സ്കെയിലുകൾ അസ്ഥിരമാണെന്നും സിമുലേഷൻ ടൈമിംഗ് ആണെന്നുമാണ്
ആശയക്കുഴപ്പമുണ്ടാക്കുകയും സമാഹാര ക്രമത്തെ ആശ്രയിക്കുകയും ചെയ്യാം.

ഇൻഫ്ലോപ്പ് ഇത് റൺടൈം അനന്തമായ ലൂപ്പുകൾ ഉള്ള എല്ലായ്‌പ്പോഴും പ്രസ്താവനകൾക്കുള്ള മുന്നറിയിപ്പുകൾ പ്രവർത്തനക്ഷമമാക്കുന്നു
(കാലതാമസം ഇല്ലാത്തതോ പൂജ്യമോ ഇല്ലാത്ത പാതകളുണ്ട്). മുന്നറിയിപ്പുകളുടെ ഈ ക്ലാസിൽ ഉൾപ്പെടുത്തിയിട്ടില്ല - മതിൽ
അതിനാൽ ഒരു ഇല്ല ഇല്ല- വേരിയന്റ്. മാരകമായ ഒരു പിശക് സന്ദേശം എപ്പോഴും ആയിരിക്കും
കംപൈലറിന് തീർച്ചയായും ഒരു അനന്തതയുണ്ടാകുമെന്ന് നിർണ്ണയിക്കാൻ കഴിയുമ്പോൾ അച്ചടിക്കുന്നു
ലൂപ്പ് (എല്ലാ പാതകൾക്കും കാലതാമസം ഇല്ല അല്ലെങ്കിൽ പൂജ്യം ഇല്ല).

എല്ലായ്പ്പോഴും ഒരു പ്രസ്താവന ഒരു റൺടൈം അനന്തമായ ലൂപ്പ് സൃഷ്ടിക്കുന്നുവെന്ന് നിങ്ങൾ സംശയിക്കുമ്പോൾ ഇത് ഉപയോഗിക്കുക
ലോജിക് പരിശോധിച്ചുറപ്പിക്കേണ്ട എല്ലായ്‌പ്പോഴും പ്രസ്താവനകൾ കണ്ടെത്താൻ ഫ്ലാഗ് ചെയ്യുക. അത്
കോഡ് പരിഗണിക്കുന്നതിനാൽ പല മുന്നറിയിപ്പുകളും തെറ്റായ പോസിറ്റീവ് ആയിരിക്കുമെന്ന് പ്രതീക്ഷിക്കുന്നു
എല്ലാ വേരിയബിളുകളുടെയും സിഗ്നലുകളുടെയും മൂല്യം അനിശ്ചിതമാണ്.

സംവേദനക്ഷമത-മുഴുവൻ-വെക്റ്റർ
"എല്ലായ്പ്പോഴും @*" എന്ന പ്രസ്താവനയ്ക്കുള്ളിൽ ഒരു ഭാഗം തിരഞ്ഞെടുക്കുമ്പോൾ ഇത് മുന്നറിയിപ്പുകൾ പ്രവർത്തനക്ഷമമാക്കുന്നു
മുഴുവൻ വെക്‌ടറും ഇംപ്ലിസിറ്റ് സെൻസിറ്റിവിറ്റി ലിസ്റ്റിലേക്ക് ചേർക്കുന്നതിലേക്ക് നയിക്കുന്നു.
ഈ സ്വഭാവം IEEE സ്റ്റാൻഡേർഡ് നിർദ്ദേശിച്ചിട്ടുണ്ടെങ്കിലും, അത് സാധ്യമല്ല
പ്രതീക്ഷിക്കാം, വെക്റ്റർ വലുതാണെങ്കിൽ പ്രകടന പ്രത്യാഘാതങ്ങൾ ഉണ്ടാക്കാം.

സംവേദനക്ഷമത-മുഴുവൻ-അറേ
"എല്ലായ്പ്പോഴും @*" എന്ന പ്രസ്താവനയ്ക്കുള്ളിൽ ഒരു വാക്ക് തിരഞ്ഞെടുക്കുമ്പോൾ ഇത് മുന്നറിയിപ്പുകൾ പ്രവർത്തനക്ഷമമാക്കുന്നു
മുഴുവൻ അറേയും ഇംപ്ലിസിറ്റ് സെൻസിറ്റിവിറ്റി ലിസ്റ്റിലേക്ക് ചേർക്കുന്നതിലേക്ക് നയിക്കുന്നു. എങ്കിലും
ഈ സ്വഭാവം IEEE സ്റ്റാൻഡേർഡ് നിർദ്ദേശിച്ചിട്ടുള്ളതാണ്, അത് എന്തായിരിക്കാം എന്നതല്ല
പ്രതീക്ഷിക്കുന്നത് കൂടാതെ അറേ വലുതാണെങ്കിൽ പ്രകടന പ്രത്യാഘാതങ്ങൾ ഉണ്ടാകാം.

സിസ്റം ഫംഗ്ഷൻ മേശ ഫയലുകൾ


സോഴ്സ് ഫയലിന്റെ പേര് a ആയി ആണെങ്കിൽ .sft സഫിക്സ്, പിന്നീട് ഇത് ഒരു സിസ്റ്റം ഫംഗ്ഷൻ ടേബിളായി എടുക്കും
ഫയൽ. കംപൈലറിന് റിട്ടേൺ തരങ്ങൾ വിവരിക്കാൻ ഒരു സിസ്റ്റം ഫംഗ്ഷൻ ടേബിൾ ഫയൽ ഉപയോഗിക്കുന്നു
സിസ്റ്റം പ്രവർത്തനങ്ങൾക്കായി. കംപൈലറിന് ഈ വിവരങ്ങൾ ആവശ്യമായതിനാൽ ഇത് ആവശ്യമാണ്
ഈ സിസ്റ്റം ഫംഗ്ഷനുകൾ ഉൾക്കൊള്ളുന്ന വിപുലമായ എക്സ്പ്രഷനുകൾ, എന്നാൽ sizetf പ്രവർത്തിപ്പിക്കാൻ കഴിയില്ല
പ്രവർത്തനസമയമില്ലാത്തതിനാൽ പ്രവർത്തിക്കുന്നു.

പട്ടികയുടെ ഫോർമാറ്റ് ASCII ആണ്, ഓരോ വരിയിലും ഒരു ഫംഗ്ഷൻ. ശൂന്യമായ വരികൾ അവഗണിക്കപ്പെടുന്നു, കൂടാതെ
' എന്ന് തുടങ്ങുന്ന വരികൾ#' കഥാപാത്രം കമന്റ് ലൈനുകളാണ്. ഓരോ നോൺ-കമന്റ് ലൈനും ആരംഭിക്കുന്നു
ഫംഗ്‌ഷൻ പേരിനൊപ്പം, തുടർന്ന് vpi തരം (അതായത് vpiSysFuncReal). ഇനിപ്പറയുന്ന തരങ്ങളാണ്
പിന്തുണയ്‌ക്കുന്നു:

vpiSysFuncReal
ഫംഗ്‌ഷൻ ഒരു യഥാർത്ഥ/തത്സമയ മൂല്യം നൽകുന്നു.

vpiSysFuncInt
ഫംഗ്ഷൻ ഒരു പൂർണ്ണസംഖ്യ നൽകുന്നു.

vpiSysFuncSized
ഫംഗ്‌ഷൻ നൽകിയിരിക്കുന്ന വീതിയുള്ള ഒരു വെക്‌ടറിനെ തിരികെ നൽകുന്നു, ഒപ്പം ഒപ്പിട്ടതോ ഒപ്പിടാത്തതോ ആണ്
പതാക പ്രകാരം.

കമാൻറ് ഫയലുകൾ


സോഴ്‌സ് ഫയലുകളുടെ പേരുകളും ചില കമാൻഡ് ലൈനുകളും സ്ഥാപിക്കാൻ കമാൻഡ് ഫയൽ ഉപയോക്താവിനെ അനുവദിക്കുന്നു
ഒരു നീണ്ട കമാൻഡ് ലൈനിന് പകരം ഒരു ടെക്സ്റ്റ് ഫയലിലേക്ക് മാറുന്നു. കമാൻഡ് ഫയലുകളിൽ സി ഉൾപ്പെടാം
അല്ലെങ്കിൽ C++ ശൈലിയിലുള്ള കമന്റുകളും അതുപോലെ # കമന്റുകളും, # വരി ആരംഭിക്കുകയാണെങ്കിൽ.

ഫയല് പേര്
ഒരു ലളിതമായ ഫയലിന്റെ പേര് അല്ലെങ്കിൽ ഫയൽ പാത്ത് ഒരു വെരിലോഗ് സോഴ്സ് ഫയലിന്റെ പേരായി എടുക്കുന്നു.
ആദ്യ നോൺ-വൈറ്റ്-സ്പേസ് പ്രതീകത്തിൽ നിന്നാണ് പാത ആരംഭിക്കുന്നത്. വേരിയബിളുകൾ ആണ്
ഫയൽ നാമങ്ങളിൽ പകരമായി.

-c cmdfile -f cmdfile
A -c or -f ടോക്കൺ ഒരു കമാൻഡ് ഫയലിനെ പ്രിഫിക്‌സ് ചെയ്യുന്നു, കമാൻഡിൽ ചെയ്യുന്നതുപോലെ
ലൈൻ. cmdfile അതേ ലൈനിലോ അടുത്ത നോൺ-കമന്റ് ലൈനിലോ ആയിരിക്കാം.

-y ലിബ്ദിർ
A -y ടോക്കൺ കമാൻഡ് ഫയലിൽ ഒരു ലൈബ്രറി ഡയറക്‌ടറി പ്രിഫിക്‌സ് ചെയ്യുന്നു, അത് പോലെ തന്നെ
കമാൻഡ് ലൈനിൽ. എന്നതിലേക്കുള്ള പാരാമീറ്റർ -y പതാക ഒരേ വരിയിലായിരിക്കാം അല്ലെങ്കിൽ
അടുത്ത നോൺ-കമന്റ് ലൈൻ.

ലെ വേരിയബിളുകൾ ലിബ്ദിർ പകരം വയ്ക്കുന്നു.

+incdir+ഉൾപ്പെടുത്തി
ദി +incdir+ കമാൻഡ് ഫയലുകളിലെ ടോക്കൺ ഉൾപ്പെടുത്തിയ ഫയലുകൾക്കായി തിരയാൻ ഡയറക്ടറികൾ നൽകുന്നു
ഏതാണ്ട് അതേ രീതിയിൽ -I ഫ്ലാഗുകൾ കമാൻഡ് ലൈനിൽ പ്രവർത്തിക്കുന്നു. വ്യത്യാസം
അത് ഒന്നിലധികം +ഉൾപ്പെടുന്നു ഡയറക്‌ടറികൾ ഒരൊറ്റ സാധുവായ പരാമീറ്ററുകളാണ് +incdir+
ടോക്കൺ, നിങ്ങൾക്ക് ഒന്നിലധികം ഉണ്ടെങ്കിലും +incdir+ ലൈനുകൾ.

ലെ വേരിയബിളുകൾ ഉൾപ്പെടുത്തി പകരം വയ്ക്കുന്നു.

+libext+ext
ദി +ലിബെക്സ്റ്റ് കമാൻഡ് ഫയലുകളിൽ ടോക്കൺ ഫൈവ്സ് ഫയൽ എക്സ്റ്റൻഷനുകൾ തിരയുമ്പോൾ ശ്രമിക്കേണ്ടതാണ്
ലൈബ്രറി ഫയൽ. ഇതുമായി ബന്ധപ്പെട്ട് ഉപയോഗപ്രദമാണ് -y ശ്രമിക്കാനുള്ള പ്രത്യയങ്ങൾ ലിസ്റ്റ് ചെയ്യാൻ ഫ്ലാഗുകൾ
അടുത്ത ലൈബ്രറി ഡയറക്ടറിയിലേക്ക് പോകുന്നതിന് മുമ്പ് ഓരോ ഡയറക്ടറിയിലും.

+libdir+മുതലാളി
ലൈബ്രറി ഡയറക്ടറികൾ വ്യക്തമാക്കുന്നതിനുള്ള മറ്റൊരു മാർഗമാണിത്. -y പതാക കാണുക.

+libdir-nocase+മുതലാളി
ഇത് പോലെയാണ് +ലിബ്ദിർ പ്രസ്‌താവന, എന്നാൽ ഡയറക്‌ടറികൾക്കുള്ളിലെ ഫയൽ നാമങ്ങൾ പ്രഖ്യാപിച്ചു
ഇവിടെ കേസ് സെൻസിറ്റീവ് അല്ല. ഒരു ലുക്കപ്പിൽ നഷ്‌ടമായ മൊഡ്യൂളിന്റെ പേര് ഇതുമായി പൊരുത്തപ്പെടേണ്ടതില്ല
ഫയലിന്റെ പേര് കേസ്, അക്ഷരങ്ങൾ ശരിയായിരിക്കുന്നിടത്തോളം. ഉദാഹരണത്തിന്, "foo" പൊരുത്തങ്ങൾ
"Foo.v" എന്നാൽ "bar.v" അല്ല.

+നിർവചിക്കുക+NAME=മൂല്യം
ദി +നിർവചിക്കുക+ ടോക്കൺ സമാനമാണ് -D കമാൻഡ് ലൈനിലെ ഓപ്ഷൻ. മൂല്യം
ടോക്കണിന്റെ ഭാഗം ഓപ്ഷണൽ ആണ്.

+സമയസ്‌കെയിൽ+മൂല്യം
ദി +സമയസ്‌കെയിൽ+ സിമുലേഷനായി സ്ഥിരസ്ഥിതി ടൈംസ്കെയിൽ സജ്ജമാക്കാൻ ടോക്കൺ ഉപയോഗിക്കുന്നു.
ഏതെങ്കിലും `ടൈംസ്‌കെയിൽ നിർദ്ദേശത്തിന് മുമ്പോ a ന് ശേഷമോ ഉള്ള സമയ യൂണിറ്റുകളും കൃത്യതയും ഇതാണ്
`റീസെറ്റാൾ നിർദ്ദേശം. ഡിഫോൾട്ട് 1സെ/1സെ.

+ടൂപ്പർ-ഫയലിന്റെ പേര്
ഈ ടോക്കൺ കമാൻഡ് ഫയലിൽ ഇതിന് ശേഷമുള്ള ഫയലുകളുടെ പേരുകൾ വിവർത്തനം ചെയ്യാൻ കാരണമാകുന്നു
വലിയക്ഷരം. ഒരു ഡയറക്‌ടറി ഒരു ഡോസിലൂടെ കടന്നുപോയ സന്ദർഭങ്ങളിൽ ഇത് സഹായിക്കുന്നു
മെഷീൻ, ഈ പ്രക്രിയയിൽ ഫയലിന്റെ പേരുകൾ മംഗ് ചെയ്യപ്പെടും.

+tolower-filename
ഇത് സമാനമാണ് +ടൂപ്പർ-ഫയലിന്റെ പേര് മുകളിൽ വിവരിച്ച ഹാക്ക്.

+പൂർണ്ണസംഖ്യ-വീതി+മൂല്യം
ഇതിലെ പൂർണ്ണസംഖ്യ വേരിയബിളുകൾക്കായി വീതി തിരഞ്ഞെടുക്കാൻ പ്രോഗ്രാമറെ അനുവദിക്കുന്നു
വെരിലോഗ് ഉറവിടം. ഡിഫോൾട്ട് 32 ആണ്, മൂല്യം ആവശ്യമുള്ള ഏതെങ്കിലും പൂർണ്ണസംഖ്യ മൂല്യമാകാം.

വ്യത്യാസങ്ങൾ IN കമാൻറ് ഫയലുകൾ


ചില സന്ദർഭങ്ങളിൽ, iverilog കമാൻഡ് ഫയലുകളിലെ വേരിയബിളുകളെ പിന്തുണയ്ക്കുന്നു. ഇവയുടെ സ്ട്രിംഗുകളാണ്
ഫോം "$(വർണ്ണനാമം)" അല്ലെങ്കിൽ "${വർണ്ണനാമം}", എവിടെ വർണ്ണനാമം പരിസ്ഥിതി വേരിയബിളിന്റെ പേരാണ്
വായിക്കാൻ. മുഴുവൻ സ്ട്രിംഗും ആ വേരിയബിളിന്റെ ഉള്ളടക്കങ്ങൾ ഉപയോഗിച്ച് മാറ്റിസ്ഥാപിക്കുന്നു. വേരിയബിളുകൾ ആണ്
ഫയലും ഡയറക്‌ടറിയും ഉൾപ്പെടെ, അവയെ വ്യക്തമായി പിന്തുണയ്ക്കുന്ന സന്ദർഭങ്ങളിൽ മാത്രം മാറ്റിസ്ഥാപിക്കുന്നു
സ്ട്രിംഗുകൾ.

വേരിയബിൾ മൂല്യങ്ങൾ വരുന്നത് ഓപ്പറേറ്റിംഗ് സിസ്റ്റം എൻവയോൺമെന്റിൽ നിന്നാണ്, അല്ലാതെ പ്രീപ്രൊസസറിൽ നിന്നല്ല
ഫയലിലോ കമാൻഡ് ലൈനിലോ മറ്റെവിടെയെങ്കിലും നിർവചിക്കുന്നു.

മുൻകൂട്ടി നിശ്ചയിച്ചത് മാക്രോസ്


ഇനിപ്പറയുന്ന മാക്രോകൾ കംപൈലർ മുൻകൂട്ടി നിർവചിച്ചിരിക്കുന്നു:

__ICARUS__ = 1
Icarus Verilog ഉപയോഗിച്ച് കംപൈൽ ചെയ്യുമ്പോൾ ഇത് എല്ലായ്പ്പോഴും നിർവചിക്കപ്പെടുന്നു.

__VAMS_ENABLE__ = 1
Verilog-AMS പ്രവർത്തനക്ഷമമാക്കിയാൽ ഇത് നിർവചിക്കപ്പെടുന്നു.

ഉദാഹരണങ്ങൾ


ഈ ഉദാഹരണങ്ങൾ നിങ്ങൾക്ക് നിലവിലുള്ളതിൽ hello.v എന്ന വെരിലോഗ് സോഴ്‌സ് ഫയൽ ഉണ്ടെന്ന് അനുമാനിക്കുന്നു
ഡയറക്ടറി

a.out എന്ന എക്സിക്യൂട്ടബിൾ ഫയലിലേക്ക് hello.v കംപൈൽ ചെയ്യാൻ:

iverilog hello.v

hello.v എന്ന എക്സിക്യൂട്ടബിൾ ഫയലിലേക്ക് കംപൈൽ ചെയ്യാൻ:

iverilog -o ഹലോ hello.v

vvp റൺടൈം ഉപയോഗിച്ച് കംപൈൽ ചെയ്യാനും പ്രവർത്തിപ്പിക്കാനും:

iverilog -ohello.vvp -tvvp hello.v

onworks.net സേവനങ്ങൾ ഉപയോഗിച്ച് iverilog ഓൺലൈനായി ഉപയോഗിക്കുക


സൗജന്യ സെർവറുകളും വർക്ക്സ്റ്റേഷനുകളും

Windows & Linux ആപ്പുകൾ ഡൗൺലോഡ് ചെയ്യുക

ലിനക്സ് കമാൻഡുകൾ

Ad