EnglishFrenchSpanyol

Ad


Favicon OnWorks

iverilog - Dalam talian di Awan

Jalankan iverilog dalam penyedia pengehosan percuma OnWorks melalui Ubuntu Online, Fedora Online, emulator dalam talian Windows atau emulator dalam talian MAC OS

Ini ialah arahan iverilog yang boleh dijalankan dalam penyedia pengehosan percuma OnWorks menggunakan salah satu daripada berbilang stesen kerja dalam talian percuma kami seperti Ubuntu Online, Fedora Online, emulator dalam talian Windows atau emulator dalam talian MAC OS.

JADUAL:

NAMA


iverilog - penyusun Icarus Verilog

SINOPSIS


iverilog [-ESVv] [-Bpath] [-ccmdfile|-fcmdfile] [-Dmacro[=defn]] [-pflag=value] [-dname]
[-g1995|-g2001|-g2005|-g ] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] sourcefile

DESCRIPTION


iverilog ialah pengkompil yang menterjemah kod sumber Verilog ke dalam atur cara boleh laku untuk
simulasi, atau format senarai bersih lain untuk pemprosesan selanjutnya. Yang sedang disokong
sasaran adalah vp untuk simulasi, dan FPGA untuk sintesis. Jenis sasaran lain ditambahkan sebagai
penjana kod dilaksanakan.

PILIHAN


iverilog menerima pilihan berikut:

-Basas . iverilog program menggunakan program luaran dan fail konfigurasi untuk praproses
dan susun sumber Verilog. Biasanya, laluan yang digunakan untuk mencari alatan ini ialah
dibina ke dalam iverilog program. Walau bagaimanapun -B suis membolehkan pengguna memilih
set program yang berbeza. Laluan yang diberikan digunakan untuk mencari ivlpp, ivl, kod
penjana dan modul VPI.

-cfail -ffail
Bendera ini menentukan fail input yang mengandungi senarai fail sumber Verilog.
Ini serupa dengan arahan fail simulator Verilog lain, kerana ia adalah a
fail yang mengandungi nama fail dan bukannya mengambilnya pada baris arahan. Lihat
Perintah Fail di bawah.

-Dmakro Mentakrifkan makro makro dengan rentetan `1' sebagai takrifannya. Borang ini biasanya
hanya digunakan untuk mencetuskan syarat ifdef dalam sumber Verilog.

-Dmakro=defn
Mentakrifkan makro makro as defn.

-dnama Aktifkan kelas mesej penyahpepijatan pengkompil. The -d suis boleh digunakan sebagai
selalunya perlu untuk mengaktifkan semua mesej yang dikehendaki. Nama yang disokong ialah
skop, eval_tree, elaborate, dan synth2; sebarang nama lain diabaikan.

-E Praproses sumber Verilog, tetapi jangan menyusunnya. Fail output ialah
Input Verilog, tetapi dengan kemasukan fail dan rujukan makro dikembangkan dan dialih keluar.
Ini berguna, sebagai contoh, untuk mempraproses sumber Verilog untuk digunakan oleh orang lain
penyusun.

-g1995|-g2001|-g2001-noconfig|-g2005
Pilih bahasa Verilog generasi untuk menyokong dalam penyusun. Ini memilih
antara IEEE1364-1995, IEEE1364-2001, Atau IEEE1364-2005. Biasanya, Icarus Verilog
lalai kepada generasi terkini bahasa yang diketahui. Bendera ini paling berguna
untuk menyekat bahasa kepada set yang disokong oleh alatan generasi tertentu, untuk
keserasian dengan alat lain.

-gverilog-ams|-gno-verilog-ams
Dayakan atau lumpuhkan sokongan (lalai) untuk Verilog-AMS. Sangat sedikit Verilog-AMS
kefungsian khusus sedang disokong.

-gsnyatakan|-gno-nyatakan
Dayakan atau lumpuhkan (lalai) tentukan sokongan blok. Apabila didayakan, nyatakan blok
kod dihuraikan. Apabila dilumpuhkan, tentukan blok dihuraikan tetapi diabaikan. Nyatakan
blok biasanya tidak diperlukan untuk simulasi RTL, dan sebenarnya boleh menyakiti
prestasi simulasi. Walau bagaimanapun, melumpuhkan blok tentukan mengurangkan ketepatan
simulasi sepenuh masa.

-gstd-termasuk|-gno-std-include
Dayakan (lalai) atau lumpuhkan carian termasuk pemasangan standard
direktori selepas semua direktori termasuk eksplisit lain. Piawaian ini termasuk
direktori ialah tempat yang mudah untuk memasang fail pengepala standard yang Verilog
program mungkin termasuk.

-grelatif-termasuk|-gno-relative-include
Dayakan atau lumpuhkan (lalai) menambah direktori fail tempatan pada permulaan
laluan carian fail sertakan. Ini membolehkan fail disertakan relatif kepada
fail semasa bukan fail yang lebih biasa hanya terdapat dalam direktori kerja atau
dalam yang dinyatakan termasuk laluan carian fail.

-gxtypes|-gno-xtypes
Dayakan (lalai) atau lumpuhkan sokongan untuk jenis lanjutan. Mendayakan jenis lanjutan
membenarkan jenis baharu yang disokong oleh Icarus Verilog sebagai sambungan di luar
garis dasar Verilog. Ia mungkin perlu untuk melumpuhkan jenis lanjutan jika menyusun kod
yang bertembung dengan beberapa kata kunci baharu yang digunakan untuk melaksanakan sistem jenis.

-gio-range-error|-gno-io-range-error
Piawaian memerlukan port bervektor mempunyai julat sepadan untuk portnya
pengisytiharan serta sebarang pengisytiharan bersih/daftar. Ia adalah amalan biasa dalam
lalu untuk hanya menentukan julat untuk perisytiharan bersih/daftar dan beberapa alatan
masih membenarkan ini. Secara lalai sebarang ketidakpadanan dilaporkan sebagai ralat. menggunakan
-gno-io-range-error akan menghasilkan amaran dan bukannya ralat maut untuk kes itu
daripada jaring/daftar bervektor dan pengisytiharan port skalar.

-gstrict-ca-eval|-gno-strict-ca-eval
Piawaian memerlukan bahawa jika ada input kepada ungkapan tugasan berterusan
menukar nilai, keseluruhan ungkapan dinilai semula. Secara lalai, sebahagian daripada
ungkapan yang tidak bergantung pada nilai input yang diubah tidak dinilai semula.
Jika ungkapan mengandungi panggilan ke fungsi yang tidak bergantung semata-mata padanya
nilai input atau yang mempunyai kesan sampingan, tingkah laku yang terhasil akan berbeza daripada
yang diperlukan oleh piawaian. menggunakan -gstrict-ca-eval akan memaksa standard
tingkah laku patuh (dengan sedikit kehilangan prestasi).

-Itermasukir
Tambahkan direktori termasukir ke senarai direktori yang dicari untuk Verilog termasuk
fail. The -I suis boleh digunakan berkali-kali untuk menentukan beberapa direktori
carian, direktori dicari mengikut susunan yang muncul pada baris arahan.

-Mjalan Tulis ke dalam fail yang ditentukan oleh laluan senarai fail yang menyumbang kepada
penyusunan reka bentuk. Ini termasuk fail yang disertakan oleh include
arahan dan fail yang dimuatkan secara automatik oleh sokongan perpustakaan. Keluaran
ialah satu nama fail setiap baris, tanpa ruang hadapan atau belakang.

-mmodul
Tambahkan modul ini pada senarai modul VPI yang akan dimuatkan oleh simulasi. banyak
modul boleh ditentukan, dan semua akan dimuatkan, dalam susunan yang ditentukan. The
modul sistem adalah tersirat dan sentiasa disertakan. Jika fail Jadual Fungsi Sistem
( .sft) wujud untuk modul yang akan dimuatkan secara automatik.

-Njalan Ini digunakan untuk menyahpepijat pengkompil dengan betul. Buang borang senarai bersih terakhir
reka bentuk kepada fail yang ditentukan. Ia sebaliknya tidak menjejaskan operasi
penyusun. Pembuangan berlaku selepas reka bentuk dihuraikan dan dioptimumkan.

-o nama fail
Letakkan output dalam fail nama fail. Jika tiada nama fail output dinyatakan, iverilog
menggunakan nama lalai a. keluar.

-pbendera=nilai
Berikan nilai kepada bendera khusus sasaran. The -p suis boleh digunakan sekerap
perlu untuk menentukan semua bendera yang dikehendaki. Bendera yang digunakan bergantung pada
sasaran yang dipilih, dan diterangkan dalam dokumentasi khusus sasaran. Bendera
yang tidak digunakan tidak diendahkan.

-S Mensintesis. Biasanya, jika sasaran boleh menerima penerangan tingkah laku
pengkompil akan meninggalkan proses dalam bentuk tingkah laku. The -S suis menyebabkan
pengkompil untuk melakukan sintesis walaupun ia tidak diperlukan untuk sasaran. Sekiranya
jenis sasaran ialah format senarai bersih, yang -S suis tidak diperlukan dan tidak mempunyai kesan.

-s modul atas
Tentukan modul peringkat atas untuk dihuraikan. Icarus Verilog secara lalai akan memilih
modul yang tidak digunakan dalam mana-mana modul lain, tetapi kadangkala tidak
mencukupi, atau menjadikan terlalu banyak modul. Jika pengguna menyatakan satu atau lebih
modul akar dengan -s bendera, maka ia akan digunakan sebagai modul akar sebaliknya.

-Tmin|taip|maks
Gunakan suis ini untuk memilih masa min, taip atau maks daripada ungkapan min:typ:max.
Biasanya, pengkompil hanya akan menggunakan nilai taip daripada ungkapan ini
(mencetak amaran untuk sepuluh pertama yang ditemuinya) tetapi suis ini akan memberitahu
pengkompil secara eksplisit nilai yang hendak digunakan. Ini akan menyekat amaran bahawa
pengkompil sedang membuat pilihan.

-tsasaran
Gunakan suis ini untuk menentukan format output sasaran. Lihat SASARAN bahagian di bawah
untuk senarai format output yang sah.

-v Hidupkan mesej bertele-tele. Ini akan mencetak baris arahan yang dilaksanakan
melaksanakan kompilasi sebenar, bersama-sama dengan maklumat versi daripada pelbagai
komponen, serta versi produk secara keseluruhan. Anda akan perasan
bahawa baris arahan termasuk rujukan kepada fail sementara utama yang berlalu
maklumat kepada penyusun yang betul. Untuk memastikan fail itu daripada dipadamkan di
akhir proses, berikan nama fail anda sendiri dalam pembolehubah persekitaran
IVERILOG_ICONFIG.

-V Cetak versi pengkompil, dan keluar.

-Wkelas Hidupkan kelas amaran yang berbeza. Lihat BERKHATAN : JENIS bahagian di bawah untuk
penerangan kumpulan amaran yang berbeza. Jika berbilang -W suis digunakan,
set amaran ialah gabungan semua kelas yang diminta.

-ylibdir
Tambahkan direktori ke laluan carian modul perpustakaan. Apabila pengkompil menemui an
modul yang tidak ditentukan, ia melihat dalam direktori ini untuk fail dengan nama yang betul.

-Yakhiran
Tambahkan akhiran pada senarai akhiran nama fail yang diterima digunakan semasa mencari a
perpustakaan untuk sel. Senarai lalai kepada entri tunggal .v.

MODULE PERPUSTAKAAN


Pengkompil Icarus Verilog menyokong perpustakaan modul sebagai direktori yang mengandungi Verilog
fail sumber. Semasa penghuraian, pengkompil melihat instantiasi undefined
jenis modul. Jika pengguna menentukan direktori carian perpustakaan, pengkompil akan mencari
direktori untuk fail dengan nama jenis modul yang hilang. Jika ia menjumpai fail sedemikian,
ia memuatkannya sebagai fail sumber Verilog, mereka cuba sekali lagi untuk menghuraikan modul tersebut.

Fail modul perpustakaan hendaklah mengandungi hanya satu modul, tetapi ini bukan satu keperluan.
Modul perpustakaan boleh merujuk modul lain dalam perpustakaan atau dalam reka bentuk utama.

SASARAN


Pengkompil Icarus Verilog menyokong pelbagai sasaran, untuk tujuan yang berbeza, dan
-t suis digunakan untuk memilih sasaran yang dikehendaki.

sifar Sasaran nol menyebabkan tiada kod dijana. Ia berguna untuk menyemak
sintaks sumber Verilog.

vp Ini adalah lalai. Sasaran vvp menjana kod untuk masa jalan vvp. Keluaran
ialah program lengkap yang menyerupai reka bentuk tetapi mesti dijalankan oleh vp
perintah.

FPGA Ini ialah sasaran sintesis yang menyokong pelbagai peranti fpga, kebanyakannya oleh EDIF
output format. Penjana kod fpga Icarus Verilog boleh menjana lengkap
reka bentuk atau makro EDIF yang seterusnya boleh diimport ke dalam reka bentuk yang lebih besar oleh orang lain
alatan. The FPGA sasaran membayangkan sintesis -S bendera.

vhdl Sasaran ini menghasilkan terjemahan VHDL senarai bersih Verilog. Keluaran ialah a
fail tunggal yang mengandungi entiti VHDL yang sepadan dengan modul dalam Verilog
kod sumber. Ambil perhatian bahawa hanya subset bahasa Verilog yang disokong. Lihat
wiki untuk maklumat lanjut.

BERKHATAN : JENIS


Ini adalah jenis amaran yang boleh dipilih oleh -W suis. Semua amaran
jenis (selain daripada semua) juga boleh diawali dengan NO- untuk mematikan amaran itu. Ini adalah
paling berguna selepas a -Dinding hujah untuk menyekat jenis amaran terpencil.

semua Ini membolehkan tersirat, portbind, julat pilih, skala masa dan
kategori amaran sensitiviti-keseluruhan-tatasusunan.

tersirat
Ini membolehkan amaran untuk membuat pengisytiharan tersirat. Contohnya, jika a
wayar skalar X digunakan tetapi tidak diisytiharkan dalam sumber Verilog, ini akan mencetak a
amaran pada penggunaan pertama.

portbind
Ini membolehkan amaran untuk port instantiasi modul yang tidak disambungkan
tetapi mungkin sepatutnya. Port input berjuntai, sebagai contoh, akan menjana a
amaran.

julat pilih
Ini membolehkan amaran untuk pilihan tetap di luar terikat. Ini termasuk separa atau
pilihan di luar terikat sepenuhnya serta pilih yang mengandungi 'bx atau 'bz dalam
indeks.

skala masa
Ini membolehkan amaran untuk penggunaan arahan skala masa yang tidak konsisten. Ia mengesan
jika sesetengah modul tidak mempunyai skala masa, atau jika modul mewarisi skala masa daripada yang lain
fail. Kedua-duanya mungkin bermakna bahawa skala masa tidak konsisten, dan masa simulasi
boleh mengelirukan dan bergantung pada susunan kompilasi.

infloop Ini membolehkan amaran untuk kenyataan sentiasa yang mungkin mempunyai gelung tak terhingga masa jalan
(mempunyai laluan tanpa atau sifar kelewatan). Kelas amaran ini tidak termasuk dalam -Dinding
dan oleh itu tidak mempunyai a NO- pelbagai. Mesej ralat maut akan sentiasa berlaku
dicetak apabila pengkompil dapat menentukan bahawa pasti akan ada yang tidak terhingga
gelung (semua laluan tidak mempunyai kelewatan atau sifar).

Apabila anda mengesyaki pernyataan sentiasa menghasilkan gelung tak terhingga masa jalan gunakan ini
bendera untuk mencari kenyataan sentiasa yang perlu disahkan logiknya. Ia adalah
menjangkakan bahawa banyak amaran akan menjadi positif palsu, kerana kod tersebut merawat
nilai semua pembolehubah dan isyarat sebagai tak tentu.

sensitiviti-keseluruhan-vektor
Ini membolehkan amaran apabila bahagian memilih dalam pernyataan "sentiasa @*".
mengakibatkan keseluruhan vektor ditambahkan pada senarai sensitiviti tersirat.
Walaupun tingkah laku ini ditetapkan oleh piawaian IEEE, ia bukan perkara yang mungkin
dijangka dan boleh mempunyai implikasi prestasi jika vektornya besar.

sensitiviti-keseluruhan-tatasusunan
Ini membolehkan amaran apabila perkataan memilih dalam pernyataan "sentiasa @*".
mengakibatkan keseluruhan tatasusunan ditambahkan pada senarai sensitiviti tersirat. walaupun
tingkah laku ini ditetapkan oleh piawaian IEEE, ia bukan apa yang mungkin berlaku
dijangka dan boleh mempunyai implikasi prestasi jika tatasusunan adalah besar.

SISTEM FUNGSI JADUAL FILES


Jika nama fail sumber sebagai a .sft akhiran, maka ia diambil sebagai jadual fungsi sistem
fail. Fail jadual fungsi sistem digunakan untuk menerangkan kepada pengkompil jenis pulangan
untuk fungsi sistem. Ini perlu kerana pengkompil memerlukan maklumat ini
ungkapan terperinci yang mengandungi fungsi sistem ini, tetapi tidak boleh menjalankan sizetf
berfungsi kerana ia tidak mempunyai masa jalan.

Format jadual ialah ASCII, satu fungsi setiap baris. Baris kosong diabaikan, dan
baris yang bermula dengan '#' watak ialah baris komen. Setiap baris bukan ulasan bermula
dengan nama fungsi, kemudian jenis vpi (iaitu vpiSysFuncReal). Jenis berikut ialah
disokong:

vpiSysFuncReal
Fungsi ini mengembalikan nilai sebenar/masa nyata.

vpiSysFuncInt
Fungsi mengembalikan integer.

vpiSysFuncSized
Fungsi mengembalikan vektor dengan lebar yang diberikan, dan ditandatangani atau tidak ditandatangani
mengikut bendera.

COMMAND FILES


Fail arahan membenarkan pengguna meletakkan nama fail sumber dan baris arahan tertentu
bertukar menjadi fail teks dan bukannya pada baris arahan yang panjang. Fail arahan boleh termasuk C
atau ulasan gaya C++, serta # ulasan, jika # memulakan baris.

fail nama
Nama fail ringkas atau laluan fail diambil sebagai nama fail sumber Verilog.
Laluan bermula dengan aksara bukan ruang putih yang pertama. Pembolehubah ialah
digantikan dengan nama fail.

-c cmdfile -f cmdfile
A -c or -f token memberi awalan pada fail arahan, sama seperti ia pada arahan
barisan. Fail cmd mungkin berada pada baris yang sama atau baris bukan ulasan seterusnya.

-y libdir
A -y token memberi awalan kepada direktori perpustakaan dalam fail arahan, sama seperti yang dilakukannya
pada baris arahan. Parameter kepada -y bendera mungkin berada pada baris yang sama atau
baris bukan komen seterusnya.

Pembolehubah dalam libdir digantikan.

+incdir+termasukir
. +incdir+ token dalam fail arahan memberikan direktori untuk mencari termasuk fail
dengan cara yang sama -I bendera berfungsi pada baris arahan. Perbezaannya ialah
berbilang itu +termasukdir direktori adalah parameter yang sah kepada satu +incdir+
token, walaupun anda mungkin juga mempunyai berbilang +incdir+ garis.

Pembolehubah dalam termasukir digantikan.

+bebas+ext
. +bebas token dalam fail arahan lima sambungan fail untuk dicuba apabila mencari a
fail perpustakaan. Ini berguna bersama-sama dengan -y bendera untuk menyenaraikan akhiran untuk dicuba
dalam setiap direktori sebelum beralih ke direktori perpustakaan seterusnya.

+libdir+dir
Ini adalah satu lagi cara untuk menentukan direktori perpustakaan. Lihat bendera -y.

+libdir-nocase+dir
Ini adalah seperti +libdir kenyataan, tetapi nama fail di dalam direktori diisytiharkan
di sini tidak peka huruf besar-besaran. Nama modul yang hilang dalam carian tidak perlu sepadan dengan
kes nama fail, asalkan hurufnya betul. Contohnya, padanan "foo".
"Foo.v" tetapi bukan "bar.v".

+takrifkan+NAMA=nilai
. +takrifkan+ token adalah sama dengan -D pilihan pada baris arahan. Nilai
sebahagian daripada token adalah pilihan.

+skala masa+nilai
. +skala masa+ token digunakan untuk menetapkan skala masa lalai untuk simulasi.
Ini ialah unit masa dan ketepatan sebelum sebarang `arahan skala masa atau selepas a
`semula semula arahan. Lalai ialah 1s/1s.

+nama fail topper
Token ini menyebabkan nama fail selepas ini dalam fail arahan untuk diterjemahkan
huruf besar. Ini membantu dengan situasi di mana direktori telah melalui DOS
mesin, dan dalam proses nama fail menjadi munged.

+nama fail menara
Ini serupa dengan +nama fail topper hack yang diterangkan di atas.

+lebar-integer+nilai
Ini membolehkan pengaturcara memilih lebar untuk pembolehubah integer dalam
Sumber Verilog. Lalai ialah 32, nilainya boleh menjadi sebarang nilai integer yang diingini.

PELBAGAI IN COMMAND FILES


Dalam kes tertentu, iverilog menyokong pembolehubah dalam fail arahan. Ini adalah rentetan daripada
bentuk "$(varname)" atau "${varname}", di mana varname ialah nama pembolehubah persekitaran
untuk membaca. Seluruh rentetan digantikan dengan kandungan pembolehubah itu. Pembolehubah ialah
hanya digantikan dalam konteks yang menyokongnya secara jelas, termasuk fail dan direktori
tali.

Nilai pembolehubah datang daripada persekitaran sistem pengendalian, dan bukan daripada prapemproses
mentakrifkan di tempat lain dalam fail atau baris arahan.

DETAPKAN MAKROS


Makro berikut dipratakrifkan oleh pengkompil:

__ICARUS__ = 1
Ini sentiasa ditakrifkan apabila menyusun dengan Icarus Verilog.

__VAMS_DAYA__ = 1
Ini ditakrifkan jika Verilog-AMS didayakan.

CONTOH


Contoh-contoh ini menganggap bahawa anda mempunyai fail sumber Verilog yang dipanggil hello.v dalam semasa
direktori

Untuk menyusun hello.v ke fail boleh laku yang dipanggil a.out:

iverilog hello.v

Untuk menyusun hello.v ke fail boleh laku yang dipanggil hello:

iverilog -o hello hello.v

Untuk menyusun dan menjalankan secara eksplisit menggunakan masa jalan vvp:

iverilog -ohello.vvp -tvvp hello.v

Gunakan iverilog dalam talian menggunakan perkhidmatan onworks.net


Pelayan & Stesen Kerja Percuma

Muat turun apl Windows & Linux

Arahan Linux

Ad