InglêsFrancêsEspanhol

Ad


favicon do OnWorks

gvhdl - Online na nuvem

Execute gvhdl no provedor de hospedagem gratuita OnWorks no Ubuntu Online, Fedora Online, emulador online do Windows ou emulador online do MAC OS

Este é o comando gvhdl que pode ser executado no provedor de hospedagem gratuita OnWorks usando uma de nossas várias estações de trabalho online gratuitas, como Ubuntu Online, Fedora Online, emulador online do Windows ou emulador online do MAC OS

PROGRAMA:

NOME


gvhdl - Frontend para o compilador / simulador VHDL FreeHDL.

SINOPSE


gvhdl [OPÇÃO] ... [VHDL_FILES] ... [OBJECT_FILES] ...

DESCRIÇÃO


HDL Livre é um conjunto de compilador / simulador para a linguagem de descrição de hardware VHDL. VHDL'93
assim como os padrões VHDL'87 são suportados.

HDL Livre traduz os ARQUIVOS de origem VHDL originais em C ++. Então, a fonte C ++ pode ser
compilado e vinculado ao kernel para construir o programa de simulação. Iniciando o gerado
executável irá simular o modelo VHDL correspondente. O processo de construção real para
gerar o simulador a partir da fonte VHDL é um processo complexo que é tratado pelo
gvhdl script.

VHDL_FILES é uma lista de nomes de arquivo de origem VHDL que deve terminar com .vhdl ou .vhd. O primeiro
O nome do arquivo VHDL também determina o nome do executável do simulador. Este é o final
O executável receberá o nome do primeiro arquivo VHDL sem a extensão .vhdl ou .vhd.
Observe que os arquivos de objeto, bem como o simulador, serão criados no atual
diretório.

OBJECT_FILES especifica uma lista de arquivos de objetos vinculados ao executável do simulador.
gvhdl considera todos os arquivos que terminam com .o como arquivos-objeto. Normalmente, esses objetos
os arquivos são gerados anteriormente (usando a opção -c) do código-fonte VHDL durante um
etapa de compilação.

OPÇÕES


-L VHDDLLIB
Caminho para o diretório raiz da biblioteca VHDL. Dentro deste diretório, o compilador procura por
um arquivo chamado v2cc.libs. O arquivo de mapeamento v2cc.libs traduz nomes de unidades de biblioteca
para diretórios. Observe que mais de um VHDLLIB pode ser fornecido.

-g Adiciona informações de depuração ao executável. Em detalhes, esta opção associa o
código de máquina gerado para as linhas correspondentes nos arquivos de origem VHDL.

-G Adiciona informações de depuração ao executável, mas não associa o código de máquina a
Linhas de origem VHDL. Esta opção é realmente usada para depurar o código C ++ gerado.

-c Não gere o executável do simulador. Usando esta opção, o compilador traduziu
Fonte VHDL em executáveis ​​e os compila em código de objeto, mas não
gerar um executável final do simulador. Esta opção é especialmente útil para compilar
Pacotes VHDL.

-l LIBNAME
Associe o código-fonte VHDL à biblioteca VHDL LIBNAME. Como padrão, o nome da biblioteca
WORK é usado. Esta opção é especialmente importante se os componentes VHDL de vários
Bibliotecas VHDL devem ser construídas no simulador. Observe que para
usar com sucesso um componente VHDL de outro que não a biblioteca de trabalho atual,
os arquivos VHDL correspondentes devem ser encontrados pelo compilador usando os mecanismos
descrito em v2cc.libs. Além disso, os componentes devem ser compilados com o apropriado
Opção -l LIBNAME.

- visibilidade do componente relaxado
Permite ligações padrão invisíveis de TRABALHO.

--libiee
Adicione os arquivos de biblioteca padrão IEEE ao executável de simulação.

MEIO AMBIENTE VARIÁVEIS

V2CC_LIBRARY_PATH
A variável V2CC_LIBRARY_PATH consiste em nomes de arquivos separados por ":". Além de
a variável de ambiente, você pode usar a opção de linha de comando "-L libdir" com
v2cc. Os diretórios especificados com "-L" são adicionados antes dos especificados
por V2CC_LIBRARY_PATH. No caminho final da biblioteca, eles aparecem na mesma ordem que
na linha de comando.

SUPORTADOS VHDL SUBCONJUNTO


Atualmente, o FreeHDL não oferece suporte a todo o padrão VHDL'93. O seguinte incompleto
lista fornece uma visão geral do que não é compatível no momento:

- A associação individual de formals do tipo composto não é suportada.

- Variáveis ​​compartilhadas não são suportadas.

- Atributos de transação, silencioso, estável e atrasado não são suportados.

- Atributos definidos pelo usuário não são suportados.

- Grupos não são suportados.

- Não há suporte para atribuições de sinais protegidos.

- Não há suporte para configurações.

- Atualmente, os drivers não podem ser desligados.

EXEMPLOS


Use

gvhdl -c adicionador.vhdl

para construir um arquivo de objeto para adder.vhdl. Observe que adder.vhdl pode conter vários VHDL
.

gvhdl adicionador.vhdl

irá gerar um simulador para o último Modelo VHDL encontrado em adder.vhdl. Porém, neste
caso, todos os componentes VHDL que são necessários para construir o simulador devem ser incluídos
o arquivo de origem VHDL.

gvhdl top.vhdl adder.o --libieee

gera um simulador para o último modelo VHDL encontrado em top.vhdl compilando todos os modelos em
top.vhdl e vinculando (o gerado anteriormente) arquivo de objeto adder.o e o padrão IEEE
bibliotecas para o executável.

gvhdl -c -l mylib adicionador.vhdl

irá construir um arquivo de objeto incluindo todos os componentes fornecidos em adder.vhdl. No entanto, em
neste caso, os componentes serão associados à biblioteca mylib em vez do padrão
nome da biblioteca trabalho. Observe que a opção -l afeta apenas o código-fonte C ++ gerado, mas
não altera o local onde os arquivos-objeto ou executáveis ​​são armazenados.

SIMULAÇÃO COMANDOS


Após o simulador ter sido iniciado, um breve resumo dos comandos disponíveis é impresso
para a tela:

c : executar ciclos = executar ciclos de simulação
n: próximo = executa o próximo ciclo de simulação
q: sair = sair da simulação
r : executar = executar simulação para
d: dump = sinais de dump
doff: dump off = parar os sinais de despejo
don: despejar em = continuar despejando sinais
s: mostrar = mostrar valores de sinal
dv: dump var = despeja um sinal das listas de sinais
ds: dump show = mostra a lista de sinais despejados
nds: number show = mostra o número de sinais despejados
dc [-f ] [-t ] [-cfg ] [-q]
: configura o processo de despejo

Observe que os sinais são despejados em um arquivo (o nome do arquivo padrão é "wave.dmp") no formato VCD.
Este formato de arquivo deve ser aceito por cada visualizador de formas de onda VCD. O nome do arquivo está definido para
"wave.dmp", mas pode ser alterado usando "dc -f ". No entanto, certifique-se de executar
"dc -f ..." antes de executar "d".

SIMULADOR COMANDO LINHA OPÇÕES


A simulação pode ser controlada através do parâmetro de linha de comando '-cmd "cmd1; cmd2; ..."' onde
'cmd1', 'cmd2', ... são comandos de simulação descritos na seção anterior. Observação
que cada comando deve ser separado por ';'. Por exemplo, executando

./top -cmd "d; execute 1000 ns; q;"

irá iniciar o programa de simulação 'top', despejar todos os sinais e executar a simulação por 1000 ns.
Finalmente, a simulação é encerrada. Na verdade, o último comando 'q;' é opcional como o
o simulador termina automaticamente assim que o último comando for executado.

Use gvhdl online usando serviços onworks.net


Servidores e estações de trabalho gratuitos

Baixar aplicativos Windows e Linux

Comandos Linux

Ad