Tiếng AnhTiếng PhápTiếng Tây Ban Nha

Ad


Biểu tượng yêu thích OnWorks

iverilog - Trực tuyến trên đám mây

Chạy iverilog trong nhà cung cấp dịch vụ lưu trữ miễn phí OnWorks trên Ubuntu Online, Fedora Online, trình giả lập trực tuyến Windows hoặc trình mô phỏng trực tuyến MAC OS

Đây là iverilog lệnh có thể được chạy trong nhà cung cấp dịch vụ lưu trữ miễn phí OnWorks bằng cách sử dụng một trong nhiều máy trạm trực tuyến miễn phí của chúng tôi như Ubuntu Online, Fedora Online, trình giả lập trực tuyến Windows hoặc trình mô phỏng trực tuyến MAC OS

CHƯƠNG TRÌNH:

TÊN


iverilog - trình biên dịch Icarus Verilog

SYNOPSIS


dòng chảy [-ESVv] [-Bpath] [-ccmdfile | -fcmdfile] [-Dmacro [= defn]] [-pflag = value] [-dname]
[-g1995 | -g2001 | -g2005 | -g ] [-Gồm]
[-ooutputfilename] [-stopmodule] [-ttype] [-Tmin / typ / max] [-Wclass] [-ypath] sourcefile

MÔ TẢ


dòng chảy là một trình biên dịch dịch mã nguồn Verilog thành các chương trình thực thi cho
mô phỏng hoặc các định dạng netlist khác để xử lý thêm. Hiện được hỗ trợ
mục tiêu là vv để mô phỏng, và fpga để tổng hợp. Các loại mục tiêu khác được thêm vào
bộ tạo mã được thực hiện.

LỰA CHỌN


dòng chảy chấp nhận các tùy chọn sau:

-Bcơ sở Sản phẩm dòng chảy chương trình sử dụng các chương trình bên ngoài và các tệp cấu hình để xử lý trước
và biên dịch nguồn Verilog. Thông thường, đường dẫn được sử dụng để định vị các công cụ này là
được xây dựng vào dòng chảy chương trình. Tuy nhiên, -B chuyển đổi cho phép người dùng chọn
một tập hợp các chương trình khác nhau. Đường dẫn đã cho được sử dụng để xác định vị trí vlpp, ivl, mã số
máy phát điện và các mô-đun VPI.

-chồ sơ -fhồ sơ
Các cờ này chỉ định một tệp đầu vào chứa danh sách các tệp nguồn Verilog.
Điều này tương tự như lệnh hồ sơ của các trình mô phỏng Verilog khác, trong đó nó là một
tệp có chứa tên tệp thay vì sử dụng chúng trên dòng lệnh. Nhìn thấy
Lệnh Các tập tin phía dưới.

-Dvĩ mô Xác định macro vĩ mô với chuỗi `1 'làm định nghĩa của nó. Hình thức này bình thường
chỉ được sử dụng để kích hoạt các điều kiện ifdef trong nguồn Verilog.

-Dmacro = defn
Xác định macro vĩ mô as định nghĩa.

-dtên Kích hoạt một lớp thông báo gỡ lỗi trình biên dịch. Các -d công tắc có thể được sử dụng như
thường xuyên khi cần thiết để kích hoạt tất cả các tin nhắn mong muốn. Các tên được hỗ trợ là
phạm vi, eval_tree, công phu và synth2; bất kỳ tên nào khác đều bị bỏ qua.

-E Xử lý trước nguồn Verilog, nhưng không biên dịch nó. Tệp đầu ra là
Đầu vào Verilog, nhưng với phần bao gồm tệp và tham chiếu macro được mở rộng và loại bỏ.
Điều này rất hữu ích, chẳng hạn, để xử lý trước nguồn Verilog để người khác sử dụng
trình biên dịch.

-g1995|-g2001|-g2001-noconfig|-g2005
Chọn ngôn ngữ Verilog thế hệ để hỗ trợ trong trình biên dịch. Điều này chọn
giữa IEEE1364-1995, IEEE1364-2001, hoặc là IEEE1364-2005. Thông thường, Icarus Verilog
mặc định cho thế hệ ngôn ngữ mới nhất đã biết. Cờ này hữu ích nhất
để hạn chế ngôn ngữ trong một tập hợp được hỗ trợ bởi các công cụ của các thế hệ cụ thể, để
khả năng tương thích với các công cụ khác.

-gverilog-ams|-gno-Verilog-ams
Bật hoặc tắt hỗ trợ (mặc định) cho Verilog-AMS. Verilog-AMS rất ít
chức năng cụ thể hiện được hỗ trợ.

-gchỉ định|-gno-chỉ định
Bật hoặc tắt (mặc định) hỗ trợ khối chỉ định. Khi được bật, hãy chỉ định khối
mã được xây dựng. Khi bị vô hiệu hóa, các khối chỉ định được phân tích cú pháp nhưng bị bỏ qua. Chỉ định
các khối thường không cần thiết cho mô phỏng RTL và trên thực tế có thể làm tổn thương
hiệu suất của mô phỏng. Tuy nhiên, việc tắt các khối chỉ định sẽ làm giảm độ chính xác
mô phỏng toàn thời gian.

-gstd-bao gồm|-gno-std-bao gồm
Bật (mặc định) hoặc tắt tìm kiếm cài đặt tiêu chuẩn bao gồm
thư mục sau khi tất cả các thư mục bao gồm rõ ràng khác. Tiêu chuẩn này bao gồm
thư mục là nơi thuận tiện để cài đặt các tệp tiêu đề chuẩn mà một Verilog
chương trình có thể bao gồm.

-tương đối-bao gồm|-gno-tương đối-bao gồm
Bật hoặc tắt (mặc định) thêm thư mục tệp cục bộ vào đầu
đường dẫn tìm kiếm tệp bao gồm. Điều này cho phép các tệp được bao gồm liên quan đến
tệp hiện tại không phải tệp phổ biến hơn chỉ được tìm thấy trong thư mục làm việc hoặc
trong đường dẫn tìm kiếm tệp bao gồm được chỉ định.

-gxtypes|-gno-xtypes
Bật (mặc định) hoặc tắt hỗ trợ cho các loại mở rộng. Bật các loại mở rộng
cho phép các loại mới được Icarus Verilog hỗ trợ dưới dạng phần mở rộng ngoài
cơ sở Verilog. Có thể cần phải tắt các kiểu mở rộng nếu biên dịch mã
xung đột với một vài từ khóa mới được sử dụng để triển khai hệ thống loại.

-gio-range-lỗi|-gno-io-phạm vi-lỗi
Các tiêu chuẩn yêu cầu một cổng vectơ phải có phạm vi phù hợp với cổng của nó
khai báo cũng như bất kỳ khai báo net / register. Đó là thực tế phổ biến trong
quá khứ để chỉ xác định phạm vi cho khai báo net / register và một số công cụ
vẫn cho phép điều này. Theo mặc định, bất kỳ sự không khớp nào được báo cáo là lỗi. Sử dụng
-gno-io-phạm vi-lỗi sẽ tạo ra một cảnh báo thay vì một lỗi nghiêm trọng cho trường hợp
của một mạng / thanh ghi vectored và một khai báo cổng vô hướng.

-gstrict-ca-eval|-gno-nghiêm ngặt-ca-eval
Tiêu chuẩn yêu cầu rằng nếu bất kỳ đầu vào nào cho một biểu thức gán liên tục
thay đổi giá trị, toàn bộ biểu thức được đánh giá lại. Theo mặc định, các phần của
biểu thức không phụ thuộc vào (các) giá trị đầu vào đã thay đổi sẽ không được đánh giá lại.
Nếu một biểu thức chứa một lệnh gọi đến một hàm không chỉ phụ thuộc vào
giá trị đầu vào hoặc có tác dụng phụ, hành vi kết quả sẽ khác với
mà tiêu chuẩn yêu cầu. Sử dụng -gstrict-ca-eval sẽ buộc tiêu chuẩn
hành vi tuân thủ (với một số mất mát về hiệu suất).

-Ibao gồm
Nối thư mục bao gồm danh sách các thư mục được tìm kiếm Verilog bao gồm
các tập tin. Các -I chuyển đổi có thể được sử dụng nhiều lần để chỉ định một số thư mục
tìm kiếm, các thư mục được tìm kiếm theo thứ tự xuất hiện trên dòng lệnh.

-Mcon đường Ghi vào tệp được chỉ định bằng đường dẫn một danh sách các tệp góp phần vào
biên soạn thiết kế. Điều này bao gồm các tệp được bao gồm bởi bao gồm
chỉ thị và tệp được tải tự động bởi bộ phận hỗ trợ thư viện. Đầu ra
là một tên tệp trên mỗi dòng, không có dấu cách ở đầu hoặc cuối.

-mmô-đun
Thêm mô-đun này vào danh sách các mô-đun VPI sẽ được tải bởi mô phỏng. Nhiều
mô-đun có thể được chỉ định và tất cả sẽ được tải, theo thứ tự được chỉ định. Các
mô-đun hệ thống là ngầm định và luôn được bao gồm. Nếu một tệp Bảng chức năng hệ thống
( .sft) tồn tại cho mô-đun nó sẽ được tải tự động.

-Ncon đường Điều này được sử dụng để gỡ lỗi trình biên dịch thích hợp. Kết xuất biểu mẫu danh sách ròng cuối cùng của
thiết kế vào tệp được chỉ định. Mặt khác, nó không ảnh hưởng đến hoạt động của
trình biên dịch. Việc bán phá giá xảy ra sau khi thiết kế được hoàn thiện và tối ưu hóa.

-o tên tập tin
Đặt đầu ra trong tệp tên tập tin. Nếu không có tên tệp đầu ra nào được chỉ định, dòng chảy
sử dụng tên mặc định a.ra.

-pcờ = giá trị
Gán giá trị cho một cờ cụ thể mục tiêu. Các -p công tắc có thể được sử dụng thường xuyên
cần thiết để chỉ định tất cả các cờ mong muốn. Các cờ được sử dụng phụ thuộc vào
mục tiêu được chọn và được mô tả trong tài liệu cụ thể về mục tiêu. Cờ
mà không được sử dụng bị bỏ qua.

-S Tổng hợp. Thông thường, nếu mục tiêu có thể chấp nhận các mô tả hành vi,
trình biên dịch sẽ để lại các quy trình ở dạng hành vi. Các -S chuyển đổi gây ra
trình biên dịch để thực hiện tổng hợp ngay cả khi nó không cần thiết cho mục tiêu. Nếu
loại mục tiêu là một định dạng danh sách ròng, -S chuyển đổi là không cần thiết và không có hiệu lực.

-s mô-đun hàng đầu
Chỉ định mô-đun cấp cao nhất để xây dựng. Icarus Verilog theo mặc định sẽ chọn
mô-đun không được khởi tạo trong bất kỳ mô-đun nào khác, nhưng đôi khi không phải
đủ hoặc khởi tạo quá nhiều mô-đun. Nếu người dùng chỉ định một hoặc nhiều
mô-đun gốc với -s cờ, sau đó chúng sẽ được sử dụng làm mô-đun gốc.

-Ttối thiểu | typ | tối đa
Sử dụng công tắc này để chọn thời gian tối thiểu, chuẩn hoặc tối đa từ các biểu thức min: typ: max.
Thông thường, trình biên dịch sẽ chỉ sử dụng giá trị kiểu chữ từ các biểu thức này
(in cảnh báo cho mười đầu tiên nó tìm thấy) nhưng công tắc này sẽ cho biết
trình biên dịch rõ ràng giá trị nào sẽ sử dụng. Điều này sẽ ngăn chặn cảnh báo rằng
trình biên dịch đang thực hiện một sự lựa chọn.

-tmục tiêu
Sử dụng công tắc này để chỉ định định dạng đầu ra mục tiêu. Xem MỤC TIÊU phần bên dưới
để có danh sách các định dạng đầu ra hợp lệ.

-v Bật thông báo dài dòng. Thao tác này sẽ in ra các dòng lệnh được thực thi
thực hiện biên dịch thực tế, cùng với thông tin phiên bản từ các
các thành phần, cũng như phiên bản của sản phẩm nói chung. Bạn sẽ nhận thấy
rằng các dòng lệnh bao gồm một tham chiếu đến một tệp tạm thời quan trọng.
thông tin đến trình biên dịch thích hợp. Để giữ cho tệp đó không bị xóa tại
kết thúc quá trình, cung cấp tên tệp của riêng bạn trong biến môi trường
IVERILOG_ICONFIG.

-V In phiên bản của trình biên dịch và thoát.

-Wtốt nghiệp lớp XNUMX Bật các lớp cảnh báo khác nhau. Xem CẢNH BÁO LOẠI phần bên dưới cho
mô tả của các nhóm cảnh báo khác nhau. Nếu nhiều -W công tắc được sử dụng,
bộ cảnh báo là sự kết hợp của tất cả các lớp được yêu cầu.

-ythư viện
Nối thư mục vào đường dẫn tìm kiếm mô-đun thư viện. Khi trình biên dịch tìm thấy một
mô-đun không xác định, nó tìm kiếm các tệp có tên phù hợp trong các thư mục này.

-Yhậu tố
Thêm hậu tố vào danh sách các hậu tố tên tệp được chấp nhận được sử dụng khi tìm kiếm
thư viện cho các ô. Danh sách mặc định cho một mục nhập duy nhất .v.

MODULE THƯ VIỆN


Trình biên dịch Icarus Verilog hỗ trợ các thư viện mô-đun dưới dạng thư mục chứa Verilog
các tập tin nguồn. Trong quá trình xây dựng, trình biên dịch nhận thấy sự khởi tạo của undefined
các loại mô-đun. Nếu người dùng chỉ định các thư mục tìm kiếm thư viện, trình biên dịch sẽ tìm kiếm
thư mục cho các tệp có tên của loại mô-đun bị thiếu. Nếu nó tìm thấy một tệp như vậy,
nó tải nó dưới dạng tệp nguồn Verilog, họ sẽ cố gắng xây dựng lại mô-đun một lần nữa.

Các tệp mô-đun thư viện chỉ nên chứa một mô-đun duy nhất, nhưng đây không phải là một yêu cầu.
Các mô-đun thư viện có thể tham chiếu các mô-đun khác trong thư viện hoặc trong thiết kế chính.

MỤC TIÊU


Trình biên dịch Icarus Verilog hỗ trợ nhiều mục tiêu khác nhau, cho các mục đích khác nhau và
-t công tắc được sử dụng để chọn mục tiêu mong muốn.

vô giá trị Đích rỗng khiến không có mã nào được tạo. Nó rất hữu ích để kiểm tra
cú pháp của nguồn Verilog.

vv Đây là mặc định. Đích vvp tạo mã cho thời gian chạy vvp. Đầu ra
là một chương trình hoàn chỉnh mô phỏng thiết kế nhưng phải được chạy bởi vv
chỉ huy.

fpga Đây là mục tiêu tổng hợp hỗ trợ nhiều loại thiết bị fpga, chủ yếu bằng EDIF
định dạng đầu ra. Trình tạo mã fpga Icarus Verilog có thể tạo hoàn chỉnh
các thiết kế hoặc macro EDIF có thể lần lượt được nhập vào các thiết kế lớn hơn bởi các
công cụ. Các fpga target ngụ ý tổng hợp -S cờ.

vhdl Mục tiêu này tạo ra bản dịch VHDL của danh sách net Verilog. Đầu ra là một
một tệp chứa các thực thể VHDL tương ứng với các mô-đun trong Verilog
mã nguồn. Lưu ý rằng chỉ một tập hợp con của ngôn ngữ Verilog được hỗ trợ. Nhìn thấy
wiki để biết thêm thông tin.

CẢNH BÁO LOẠI


Đây là các loại cảnh báo có thể được lựa chọn bởi -W chuyển. Tất cả các cảnh báo
các loại (ngoài tất cả các) cũng có thể được bắt đầu bằng không- để tắt cảnh báo đó. Đây là
hữu ích nhất sau một -Tường đối số để loại bỏ các loại cảnh báo bị cô lập.

tất cả các Điều này cho phép ẩn, chuyển đổi, phạm vi lựa chọn, tỷ lệ thời gian và
danh mục cảnh báo độ nhạy toàn bộ mảng.

ngầm
Điều này cho phép các cảnh báo để tạo ra các khai báo ngầm định. Ví dụ, nếu một
dây vô hướng X được sử dụng nhưng không được khai báo trong nguồn Verilog, điều này sẽ in ra
cảnh báo ở lần sử dụng đầu tiên.

liên kết cổng
Điều này cho phép cảnh báo cho các cổng của trình diễn giải mô-đun không được kết nối
nhưng có lẽ nên như vậy. Các cổng đầu vào nguy hiểm, chẳng hạn, sẽ tạo ra một
cảnh báo.

phạm vi lựa chọn
Điều này cho phép cảnh báo cho các lựa chọn liên tục ngoài giới hạn. Điều này bao gồm một phần hoặc
các lựa chọn hoàn toàn không bị ràng buộc cũng như một lựa chọn có chứa 'bx hoặc' bz trong
mục lục.

khoảng thời gian
Điều này cho phép cảnh báo về việc sử dụng không nhất quán chỉ thị thời gian. Nó phát hiện
nếu một số mô-đun không có tỷ lệ thời gian hoặc nếu các mô-đun kế thừa tỷ lệ thời gian từ một
tập tin. Cả hai có thể có nghĩa là thang đo thời gian không nhất quán và thời gian mô phỏng
có thể gây nhầm lẫn và phụ thuộc vào thứ tự biên dịch.

vòng tròn Điều này cho phép cảnh báo cho các câu lệnh always có thể có vòng lặp vô hạn thời gian chạy
(có đường dẫn không có hoặc không có độ trễ). Loại cảnh báo này không được bao gồm trong -Tường
và do đó không có không- khác nhau. Một thông báo lỗi nghiêm trọng sẽ luôn là
được in ra khi trình biên dịch có thể xác định rằng chắc chắn sẽ có
vòng lặp (tất cả các đường dẫn không có hoặc không có độ trễ).

Khi bạn nghi ngờ một câu lệnh always đang tạo ra một vòng lặp vô hạn thời gian chạy, hãy sử dụng điều này
cờ để tìm các câu lệnh always cần được xác minh logic của chúng. Nó là
dự kiến ​​rằng nhiều cảnh báo sẽ là dương tính giả, vì mã xử lý
giá trị của tất cả các biến và tín hiệu là không xác định.

độ nhạy-toàn bộ-vectơ
Điều này cho phép cảnh báo khi một bộ phận được chọn trong câu lệnh "always @ *"
dẫn đến toàn bộ vectơ được thêm vào danh sách nhạy cảm ngầm.
Mặc dù hành vi này được quy định bởi tiêu chuẩn IEEE, nhưng nó không phải là những gì có thể
được mong đợi và có thể có ý nghĩa về hiệu suất nếu vectơ lớn.

độ nhạy toàn bộ mảng
Điều này cho phép cảnh báo khi một từ được chọn trong câu lệnh "always @ *"
dẫn đến toàn bộ mảng được thêm vào danh sách nhạy cảm ngầm. Mặc dù
hành vi này được quy định bởi tiêu chuẩn IEEE, nó không phải là những gì có thể
dự kiến ​​và có thể có ý nghĩa về hiệu suất nếu mảng lớn.

WELFARE CHỨC NĂNG BẢNG CÁC TẬP TIN


Nếu tên tệp nguồn dưới dạng .sft hậu tố, sau đó nó được coi là một bảng chức năng hệ thống
tập tin. Tệp bảng chức năng hệ thống được sử dụng để mô tả cho trình biên dịch các kiểu trả về
cho các chức năng của hệ thống. Điều này là cần thiết vì trình biên dịch cần thông tin này để
các biểu thức phức tạp có chứa các hàm hệ thống này, nhưng không thể chạy sizetf
các chức năng vì nó không có thời gian chạy.

Định dạng của bảng là ASCII, một hàm trên mỗi dòng. Các dòng trống bị bỏ qua và
các dòng bắt đầu bằng dấu '#'ký tự là những dòng bình luận. Mỗi dòng không nhận xét bắt đầu
với tên hàm, sau đó là kiểu vpi (tức là vpiSysFuncReal). Các loại sau là
được hỗ trợ:

vpiSysFuncReal
Hàm trả về một giá trị thực / thời gian thực.

vpiSysFuncInt
Hàm trả về một số nguyên.

vpiSysFuncSized
Hàm trả về một vectơ có chiều rộng đã cho và có dấu hoặc không dấu
theo lá cờ.

COMMAND CÁC TẬP TIN


Tệp lệnh cho phép người dùng đặt tên tệp nguồn và một số dòng lệnh nhất định
chuyển sang một tệp văn bản thay vì trên một dòng lệnh dài. Các tệp lệnh có thể bao gồm C
hoặc chú thích kiểu C ++, cũng như chú thích #, nếu # bắt đầu dòng.

hồ sơ tên
Tên tệp đơn giản hoặc đường dẫn tệp được coi là tên của tệp nguồn Verilog.
Đường dẫn bắt đầu bằng ký tự không phải khoảng trắng đầu tiên. Các biến là
được thay thế trong tên tệp.

-c tập tin cmd -f tập tin cmd
A -c or -f mã thông báo đặt trước tệp lệnh, giống hệt như trên lệnh
hàng. Các cmdfile có thể nằm trên cùng một dòng hoặc dòng tiếp theo không phải chú thích.

-y thư viện
A -y mã thông báo đặt tiền tố thư mục thư viện trong tệp lệnh, giống hệt như nó
trên dòng lệnh. Tham số cho -y cờ có thể nằm trên cùng một dòng hoặc
dòng không bình luận tiếp theo.

Các biến trong thư viện được thay thế.

+ incdir +bao gồm
Sản phẩm + incdir + mã thông báo trong các tệp lệnh cung cấp các thư mục để tìm kiếm các tệp bao gồm
theo cùng một cách -I cờ hoạt động trên dòng lệnh. Sự khác biệt là
bội số đó + bao gồm thư mục là các tham số hợp lệ cho một + incdir +
mã thông báo, mặc dù bạn cũng có thể có nhiều + incdir + Đường dây.

Các biến trong bao gồm được thay thế.

+ libext +ext
Sản phẩm + libext mã thông báo trong tệp lệnh tìm phần mở rộng tệp để thử khi tìm kiếm
tập tin thư viện. Điều này rất hữu ích khi kết hợp với -y cờ để liệt kê các hậu tố để thử
trong mỗi thư mục trước khi chuyển sang thư mục thư viện tiếp theo.

+ libdir +dir
Đây là một cách khác để chỉ định các thư mục thư viện. Xem cờ -y.

+ libdir-nocase +dir
Điều này giống như + libdir câu lệnh, nhưng tên tệp bên trong các thư mục được khai báo
đây là phân biệt chữ hoa chữ thường. Tên mô-đun bị thiếu trong tra cứu không cần phải khớp với
trường hợp tên tệp, miễn là các chữ cái chính xác. Ví dụ: "foo" đối sánh
"Foo.v" nhưng không phải "bar.v".

+ xác định +TÊN=giá trị
Sản phẩm + xác định + mã thông báo giống như -D tùy chọn trên dòng lệnh. Giá trị
một phần của mã thông báo là tùy chọn.

+ thời gian +giá trị
Sản phẩm + thời gian + mã thông báo được sử dụng để đặt lịch thời gian mặc định cho mô phỏng.
Đây là đơn vị thời gian và độ chính xác trước bất kỳ `chỉ thị thời gian nào hoặc sau một
`resetall chỉ thị. Mặc định là 1s / 1s.

+ toupper-tên tệp
Mã thông báo này làm cho các tên tệp sau tên này trong tệp lệnh được dịch sang
chữ hoa. Điều này giúp giải quyết các tình huống trong đó thư mục đã chuyển qua DOS
và trong quá trình này, các tên tệp sẽ bị trộn lẫn.

+ tolower-filename
Điều này tương tự như + toupper-tên tệp hack được mô tả ở trên.

+ số nguyên-width +giá trị
Điều này cho phép người lập trình chọn độ rộng cho các biến số nguyên trong
Nguồn Verilog. Giá trị mặc định là 32, giá trị có thể là bất kỳ giá trị số nguyên mong muốn nào.

BIẾN IN COMMAND CÁC TẬP TIN


Trong một số trường hợp nhất định, iverilog hỗ trợ các biến trong tệp lệnh. Đây là các chuỗi của
dạng "$ (tên sơn dầu) "hoặc" $ {tên sơn dầu}", ở đâu tên sơn dầu là tên của biến môi trường
đọc. Toàn bộ chuỗi được thay thế bằng nội dung của biến đó. Các biến là
chỉ được thay thế trong các ngữ cảnh hỗ trợ chúng một cách rõ ràng, bao gồm tệp và thư mục
dây.

Các giá trị biến đến từ môi trường hệ điều hành chứ không phải từ bộ tiền xử lý
định nghĩa ở những nơi khác trong tệp hoặc dòng lệnh.

DỰ KIẾN MACRO


Các macro sau được xác định trước bởi trình biên dịch:

__ICARUS__ = 1
Điều này luôn được xác định khi biên dịch với Icarus Verilog.

__VAMS_ENABLE__ = 1
Điều này được xác định nếu Verilog-AMS được bật.

VÍ DỤ


Các ví dụ này giả định rằng bạn có tệp nguồn Verilog được gọi là hello.v trong hiện tại
thư mục

Để biên dịch hello.v thành một tệp thực thi được gọi là a.out:

iverilog xin chào.v

Để biên dịch hello.v thành một tệp thực thi được gọi là hello:

iverilog -o xin chào xin chào.v

Để biên dịch và chạy rõ ràng bằng thời gian chạy vvp:

iverilog -ohello.vvp -tvvp xin chào.v

Sử dụng iverilog trực tuyến bằng các dịch vụ onworks.net


Máy chủ & Máy trạm miễn phí

Tải xuống ứng dụng Windows & Linux

Lệnh Linux

Ad