אנגליתצרפתיתספרדי

Ad


סמל OnWorks

aarch64-linux-gnu-as - מקוון בענן

הפעל את aarch64-linux-gnu-as בספק אירוח חינמי של OnWorks באמצעות אובונטו מקוון, פדורה מקוון, אמולטור מקוון של Windows או אמולטור מקוון של MAC OS

זוהי הפקודה aarch64-linux-gnu-as שניתן להריץ בספק האירוח החינמי של OnWorks באמצעות אחת מתחנות העבודה המקוונות המרובות שלנו, כגון Ubuntu Online, Fedora Online, אמולטור מקוון של Windows או אמולטור מקוון של MAC OS

תָכְנִית:

שֵׁם


AS - האסמבלר הנייד של GNU.

תַקצִיר


כפי ש [-a[cdglns][=פילה]] [--לְהַחלִיף] [-D]
[--compress-debug-sections] [--nocompress-debug-sections]
[--debug-prefix-map זקן=חדש]
[--defsym SYM=val] [-f] [-g] [--gstabs]
[--gstabs+] [--gdwarf-2] [--gdwarf-sections]
[- עזרה] [-I dir] [-J]
[-K] [-L] [--listing-lhs-width=NUM]
[--listing-lhs-width2=NUM] [---listing-rhs-width=NUM]
[---listing-cont-lines=NUM] [--לשמור-מקומיים]
[-o מטרה] [-R]
[---hash-size=NUM] [--הפחתת הוצאות זיכרון]
[--סטָטִיסטִיקָה]
[-v] [-הפך] [--גִרְסָה]
[-W] [--לְהַזהִיר] [--אזהרות-קטלניות] [-w] [-x]
[-Z] [@קובץ]
[--שם-כתה-משנה] [--size-check=[שגיאה|אזהרה]]
[--מטרה-עזרה] [אפשרויות יעד]
[--|קבצים ...]

יעד AAArch64 אפשרויות:
[-EB|]
[-מאבי=ABI]

יעד אלפא אפשרויות:
[-mמעבד]
[-mdebug | -לא-מדבאג]
[-החלף | - במקום אחר]
[-לְהִרָגַע] [-g] [-Gגודל]
[-F] [-32addr]

יעד קשת אפשרויות:
[-mcpu=מעבד]
[-mA6|-mARC600|-mARC601|-mA7|-mARC700||-mHS]
[-צפיפות mcode]
[-EB|]

יעד זרוע אפשרויות:
[-mcpu=מעבד[+הארכה...]]
[-מרץ=ארכיטקטורה[+הארכה...]]
[-mfpu=פורמט נקודה צפה]
[-mfloat-abi=אבי]
[-מאבי=Ver]
[-אגודל]
[-EB|]
[-mapcs-32|-mapcs-26|-mapcs-float|
-mapcs-reenrant]
[-מ-אגודל-עבודה] [-k]

יעד שחור אפשרויות:
[-mcpu=מעבד[-סירטוויזיון]]
[-mfdpic]
[-mno-fdpic]
[-מנופי]

יעד Cris אפשרויות:
[--מדגיש | --ללא קו תחתון]
[--תמונה] [-N]
[--emulation=criself | --emulation=crisaout]
[--march=v0_v10 | --march=v10 | --march=v32 | --march=common_v10_v32]

יעד D10V אפשרויות:
[-O]

יעד D30V אפשרויות:
[-O|-n|-N]

יעד הִתגַלוּת אפשרויות:
[-מיפיפניה|-מיפיפניה16]

יעד H8 / 300 אפשרויות:
[-h-tick-hex]

יעד i386 אפשרויות:
[- 32|--x32|- 64] [-n]
[-מרץ=CPU[+הארכת...]] [-mtune=CPU]

יעד i960 אפשרויות:
[-ACA|-ACA_A|-ACB|-ACC|-AKA|-AKB|
-AKC|-AMC]
[-b] [-לא להירגע]

יעד IA-64 אפשרויות:
[-mconstant-gp|-תמונה אוטומטית]
[-מילפ32|-מילפ64|-mlp64|-mp64]
[-מלה|Mbe]
[-mtune=itanium1|-mtune=itanium2]
[-munwind-check=אזהרה|-munwind-check=שגיאה]
[-mhint.b=בסדר|-mhint.b=אזהרה|-mhint.b=שגיאה]
[-x|-מפורש] [-xauto] [-xdebug]

יעד IP2K אפשרויות:
[-mip2022|-mip2022ext]

יעד M32C אפשרויות:
[-m32c|-m16c] [-להירגע] [-h-tick-hex]

יעד M32R אפשרויות:
[--m32rx|--[לא-]הזהיר-קונפליקטים-מפורשים-מקבילים|
--W[n]עמ']

יעד M680X0 אפשרויות:
[-l] [-מ 68000|-מ 68010|-מ 68020|...]

יעד M68HC11 אפשרויות:
[-m68hc11|-m68hc12|-m68hcs12|-mm9s12x|-mm9s12xg]
[-מקצר|-מלונג]
[-mshort-כפול|-מלונג-כפול]
[--כוח-ארוך-ענפים] [--קצרים-ענפים]
[--מצב ישיר קפדני] [--print-insn-תחביר]
[--קודים להדפסה] [--generate-example]

יעד MCORE אפשרויות:
[-jsri2bsr] [-סיפילטר] [-לְהִרָגַע]
[-mcpu=[210|340]]

יעד meta אפשרויות:
[-mcpu=מעבד] [-mfpu=מעבד] [-mdsp=מעבד] יעד MICROBLAZE אפשרויות:

יעד MIPS אפשרויות:
[-nocpp] [] [-EB] [-O[אופטימיזציה רָמָה]]
[-g[באגים רָמָה]] [-G NUM] [-KPIC] [-call_shared]
[-לא_משותף] [-xgot [-mvxworks-תמונה]
[-מאבי=ABI] [-32] [-n32] [-64] [-mfp32] [-mgp32]
[-mfp64] [-mgp64] [-mfpxx]
[-modd-spreg] [-mno-odd-spreg]
[-מרץ=CPU] [-mtune=CPU] [-מיפס1] [-מיפס2]
[-מיפס3] [-מיפס4] [-מיפס5] [-מיפס32] [-mips32r2]
[-mips32r3] [-mips32r5] [-mips32r6] [-מיפס64] [-mips64r2]
[-mips64r3] [-mips64r5] [-mips64r6]
[-בנה-צף] [-אין-בנייה-צפים]
[-מנן=הַצפָּנָה]
[-מַלכּוֹדֶת] [-אין הפסקה] [שבר] [-אין מלכודת]
[-מיפס16] [-ללא-מיפס16]
[-micromips] [-mno-micromips]
[-msmartmips] [-mno-smartmips]
[-mips3d] [-לא-mips3d]
[-mdmx] [-לא-mdmx]
[-mdsp] [-mno-dsp]
[-mdspr2] [-mno-dspr2]
[-ממסה] [-מנו-מסה]
[-mxpa] [-mno-xpa]
[-ממץ] [-מנו-מט]
[-ממקו] [-mno-mcu]
[-minsn32] [-mno-insn32]
[-mfix7000] [-mno-fix7000]
[-mfix-rm7000] [-mno-fix-rm7000]
[-mfix-vr4120] [-mno-fix-vr4120]
[-mfix-vr4130] [-mno-fix-vr4130]
[-mdebug] [-לא-מדבאג]
[-mpdr] [-mno-pdr]

יעד MMIX אפשרויות:
[--שמות רישום-מיוחדים קבועים] [-- גלובל-סמלים]
[--gnu-תחביר] [--לְהִרָגַע] [--ללא סמלים מוגדרים מראש]
[--לא-להרחיב] [--לא-מיזוג-גרגס] [-x]
[--linker-allocated-gregs]

יעד ניוס II אפשרויות:
[-להירגע-הכל] [-קטע-הרפיה] [-לא להירגע]
[-EB] []

יעד NDS32 אפשרויות:
[] [-EB] [-O] [-אוס] [-mcpu=מעבד]
[-מיסה=ISA] [-מאבי=אבי] [-קניון-שלוחה]
[-m[no-]16-bit] [-m[no-]perf-ext] [-m[no-]perf2-ext]
[-m[no-]string-ext] [-m[no-]dsp-ext] [-m[no-]mac] [-m[no-]div]
[-m[no-]audio-isa-ext] [-m[no-]fpu-sp-ext] [-m[no-]fpu-dp-ext]
[-m[no-]fpu-fma] [-mfpu-freg=FREG] [-mreduced-regs]
[-mfull-regs] [-m[no-]dx-regs] [-mpic] [-מנו-להירגע]
[-mb2bb]

יעד PDP11 אפשרויות:
[-mpic|-מנו-תמונה] [-קֶנִיוֹן] [-mno-הרחבות]
[-mהארכה|-מנו-הארכה]
[-mמעבד] [-mמכונה]

יעד picoJava אפשרויות:
[-מב|-]

יעד PowerPC אפשרויות:
[-A32|-A64]
[-mpwrx|-mpwr2|-mpwr|-מ 601|-mppc|-mppc32|-מ 603|-מ 604|-מ 403|-מ 405|
-מ 440|-מ 464|-מ 476|-מ 7400|-מ 7410|-מ 7450|-מ 7455|-m750cl|-mppc64|
-מ 620|-אני 500|-e500x2|-me500mc|-me500mc64|-אני 5500|-אני 6500|-mppc64bridge|
-mbooke|-mpower4|-mpwr4|-mpower5|-mpwr5|-mpwr5x|-mpower6|-mpwr6|
-mpower7|-mpwr7|-mpower8|-mpwr8|-mpower9|-mpwr9-ma2|
-mcell|-mspe|-מיטטן|-אני 300|-mcom]
[-רב] [-maltivec|-mvsx|-mhtm|-mvle]
[-מרגשמות|-mno-regnames]
[-ניתן להזזה|-mrelocatable-lib|-K PIC] [-חבר]
[-מעט|-מעט-אנדיאן|-לה|-מביג|-mbig-endian|-לִהיוֹת]
[-msolaris|-mno-solaris]
[-לא=לספור]

יעד RL78 אפשרויות:
[-mg10]
[-m32bit-כפילים|-m64bit-כפילים]

יעד RX אפשרויות:
[-מעט-אנדיאן|-mbig-endian]
[-m32bit-כפילים|-m64bit-כפילים]
[-מוזה-שמות-מדורים-קונבנציונליים]
[-msmall-data-limit]
[-mpid]
[-להירגע]
[-mint-register=מספר]
[-mgcc-abi|-mrx-abi]

יעד s390 אפשרויות:
[-מ 31|-מ 64] [-מסה|-מזרך] [-מרץ=CPU]
[-מרגשמות|-mno-regnames]
[-mwarn-areg-XNUMX]

יעד SCORE אפשרויות:
[-EB][][-FIXDD][-נווארן]
[-SCORE5][-SCORE5U][-SCORE7][-SCORE3]
[-מרץ=ציון7][-מרץ=ציון3]
[-USE_R1][-KPIC][-O0][-G NUM][-V]

יעד SPARC אפשרויות:
[-Av6|-Av7|-Av8|-אספרקלט|-אספרטליט
-Av8plus|-Av8plusa|-Av9|-Av9a]
[-xarch=v8plus|-xarch=v8plusa] [-מַכָּה]
[-32|-64]

יעד TIC54X אפשרויות:
[-mcpu=54[123589]|-mcpu=54[56]lp] [-mfar-mode|-mf]
[-מרrors-to-file |- ]

יעד TIC6X אפשרויות:
[-מרץ=קשת] [-mbig-endian|-מעט-אנדיאן]
[-mdsbt|-mno-dsbt] [-mpid=לא|-mpid=ליד|-mpid=רחוק]
[-mpic|-מנו-תמונה]

יעד TILE-Gx אפשרויות:
[-מ 32|-מ 64][-EB][]

יעד ויזיום אפשרויות:
[-mtune=קשת]

יעד Xtensa אפשרויות:
[--[לא-]מקטע-טקסט-מילוליות] [--[לא-]ליטפולים אוטומטיים]
[--[לא-]מילוליים מוחלטים]
[--[לא-]יישור יעד] [--[לא-]שיחות ארוכות]
[--[לא-]שינוי]
[--שנה שם-סעיף שם ישן=שם חדש]
[--[לא-]טרמפולינות]

יעד Z80 אפשרויות:
[-z80] [-r800]
[ -התעלם מהוראות-לא מתועדות] [-נוד]
[ -התעלם מהוראות-לא ניידות] [-Wnup]
[ -להזהיר הוראות לא מתועדות] [-ווד]
[ -הזהיר-הנחיות-לא ניידות] [-וואפ]
[ -אסרו-לא מתועדות-הוראות] [-פאד]
[ -אסרו-לא ניידות-הוראות] [-פוף]

תיאור


גנה as היא באמת משפחה של מרכיבים. אם אתה משתמש (או השתמשת) ב-GNU assembler על
ארכיטקטורה אחת, אתה אמור למצוא סביבה די דומה כאשר אתה משתמש בה באחרת
ארכיטקטורה. לכל גרסה יש הרבה מהמשותף עם האחרות, כולל קובץ אובייקט
פורמטים, רוב הנחיות ה-assembler (הנקראות לעתים קרובות פסאודו-אופס) ותחביר assembler.

as נועד בעיקר להרכיב את הפלט של מהדר GNU C "gcc" לשימוש על ידי
מקשר "ld". עם זאת, ניסינו לעשות as להרכיב נכון את כל מה
מרכיבים אחרים לאותה מכונה ירכיבו. כל חריג מתועד
בִּמְפוּרָשׁ. זה לא אומר as תמיד משתמש באותו תחביר כמו אסמבלר אחר עבור
אותה ארכיטקטורה; לדוגמה, ידוע לנו על כמה גרסאות לא תואמות של הרכבה 680x0
תחביר שפה.

בכל פעם שאתה רץ as זה מרכיב בדיוק תוכנית מקור אחת. תוכנית המקור נוצרת
למעלה מקובץ אחד או יותר. (הקלט הסטנדרטי הוא גם קובץ.)

אתה נותן as שורת פקודה שיש לה אפס או יותר שמות קבצי קלט. קבצי הקלט הם
קרא (משמאל שם הקובץ לימין). ארגומנט שורת פקודה (בכל עמדה) שיש לו
שום משמעות מיוחדת לא נחשבת לשם קובץ קלט.

אם אתה נותן as אין שמות קבצים זה מנסה לקרוא קובץ קלט אחד מה- as תֶקֶן
קלט, שהוא בדרך כלל הטרמינל שלך. ייתכן שתצטרך להקליד ctl-D לספר as אין
עוד תוכנית להרכבה.

השתמש -- אם אתה צריך לתת שם מפורש לקובץ הקלט הסטנדרטי בשורת הפקודה שלך.

אם המקור ריק, as מייצר קובץ אובייקט קטן וריק.

as עשוי לכתוב אזהרות והודעות שגיאה לקובץ השגיאה הסטנדרטי (בדרך כלל
מָסוֹף). זה לא אמור לקרות כאשר מהדר פועל as אוטומטית. אזהרות
לדווח על הנחה שנעשתה כך as יכול להמשיך להרכיב תוכנית פגומה; דוח שגיאות
בעיה חמורה שעוצרת את ההרכבה.

אם אתה קורא as באמצעות מהדר GNU C, אתה יכול להשתמש ב- -ווא אפשרות לעבור
הטיעונים עוברים לאסמבלר. יש להפריד את ארגומנטי האסמבלר מכל אחד
אחר (ואת -ווא) לפי פסיקים. לדוגמה:

gcc -c -g -O -Wa,-alh,-L file.c

זה מעביר שתי אפשרויות להרכב: -אלח (לשלוח רישום לפלט סטנדרטי עם
מקור ברמה גבוהה והרכבה) ו -L (שמור על סמלים מקומיים בטבלת הסמלים).

בדרך כלל אתה לא צריך להשתמש בזה -ווא מנגנון, שכן שורת פקודה מהדר רבים
האפשרויות מועברות אוטומטית ל-assembler על ידי המהדר. (אתה יכול לקרוא ל-GNU
מנהל התקן מהדר עם ה -v אפשרות לראות בדיוק אילו אפשרויות הוא מעביר לכל אחד
כרטיס הידור, כולל האסמבלר.)

אפשרויות


@פילה
קרא את אפשרויות שורת הפקודה מ פילה. האפשרויות שנקראו מוכנסות במקום
מקורי @פילה אוֹפְּצִיָה. אם פילה לא קיים, או לא ניתן לקרוא, אז האפשרות
יטופל פשוטו כמשמעו, ולא יוסר.

אפשרויות ב פילה מופרדים על ידי רווח לבן. תו רווח עשוי להיכלל
באופציה על ידי הקיפת כל האופציה במרכאות בודדות או כפולות. כל
תו (כולל קו נטוי אחורי) עשוי להיכלל על ידי הקדמת התו להיות
כלול עם קו נטוי אחורי. ה פילה עשוי בעצמו להכיל @ נוסףפילה אפשרויות; כל
אפשרויות כאלה יעובדו באופן רקורסיבי.

-a[cdghlmns]
הפעל רישומים, בכל אחת ממגוון דרכים:

-ac להשמיט תנאים כוזבים

-אד להשמיט הנחיות איתור באגים

-אג לכלול מידע כללי, כמו גרסה ואפשרויות שעברו

-אה כולל מקור ברמה גבוהה

-אל כולל הרכבה

-אם כולל הרחבות מאקרו

להשמיט עיבוד טפסים

-כפי ש לכלול סמלים

=קובץ
הגדר את השם של קובץ הרישום

אתה יכול לשלב אפשרויות אלה; למשל, להשתמש -אלן לרשימת הרכבה ללא
עיבוד טפסים. ה =קובץ האפשרות, אם נעשה בה שימוש, חייבת להיות האחרונה. בעצמו, -a
ברירת מחדל ל -אהל.

--לְהַחלִיף
התחל במצב מאקרו חלופי.

--compress-debug-sections
דחוס קטעי ניפוי באגים של DWARF באמצעות zlib עם SHF_COMPRESSED מה-ELF ABI. ה
ייתכן שקובץ האובייקט המתקבל לא יהיה תואם למקשרים ישנים יותר ולקובץ אובייקט
שירותים. שים לב אם דחיסה תיצור קטע נתון גדול יותר אז זה לא
דָחוּס.

--compress-debug-sections=none
--compress-debug-sections=zlib
--compress-debug-sections=zlib-gnu
--compress-debug-sections=zlib-gabi
אפשרויות אלו שולטות כיצד קטעי ניפוי באגים של DWARF נדחסים.
--compress-debug-sections=none שווה --nocompress-debug-sections.
--compress-debug-sections=zlib ו --compress-debug-sections=zlib-gabi שוות ערך
ל --compress-debug-sections. --compress-debug-sections=zlib-gnu דוחס DWARF
איתור באגים בקטעים באמצעות zlib. שמם של מקטעי ניפוי הבאגים מתחיל עם זה .zdebug.
שים לב אם דחיסה תיצור קטע נתון גדול יותר אז זה לא דחוס וגם לא
שונה שם.

--nocompress-debug-sections
אל תדחס קטעי ניפוי באגים של DWARF. זוהי בדרך כלל ברירת המחדל עבור כל היעדים
מלבד ה-x86/x86_64, אך ניתן להשתמש באפשרות תצורת זמן כדי לעקוף זאת.

-D התעלמו. אפשרות זו מקובלת עבור תאימות סקריפט עם שיחות לאחר
מרכיבים.

--debug-prefix-map זקן=חדש
בעת הרכבת קבצים בספרייה זקן, הקלט מידע על ניפוי באגים המתאר אותם
כמו ב חדש במקום.

--defsym SYM=ערך
הגדר את הסמל SYM להיות ערך לפני הרכבת קובץ הקלט. ערך חייב להיות
קבוע מספר שלם. כמו ב-C, מוביל 0x מציין ערך הקסדצימלי, ומוביל
0 מציין ערך אוקטלי. ניתן לעקוף את הערך של הסמל בתוך מקור
קובץ באמצעות שימוש בפסאודו-אופ ".set".

-f "מהיר" --- דלג על רווח לבן ועיבוד מוקדם של הערות (נניח שהמקור הוא פלט מהדר).

-g
--gen-debug
צור מידע על ניפוי באגים עבור כל שורת מקור של אסמבלר באמצעות כל ניפוי באגים
הפורמט מועדף על ידי היעד. זה אומר כרגע או STABS, ECOFF או
גמד2.

--gstabs
צור מידע על ניפוי באגים של דקירות עבור כל קו אסמבלר. זה עשוי לעזור באיתור באגים
קוד assembler, אם מאתר הבאגים יכול להתמודד עם זה.

--gstabs+
צור מידע על ניפוי באגים דקירות עבור כל קו אסמבלר, עם הרחבות של GNU
כנראה שרק gdb יכול להתמודד, וזה עלול לגרום לניפוי באגים אחרים לקרוס או לסרב
לקרוא את התוכנית שלך. זה עשוי לעזור בניפוי באגים בקוד assembler. כרגע ה-GNU היחיד
הרחבה היא המיקום של ספריית העבודה הנוכחית בזמן ההרכבה.

--gdwarf-2
צור מידע על ניפוי באגים DWARF2 עבור כל קו אסמבלר. זה עשוי לעזור
איתור באגים בקוד אסמבלר, אם מאפר הבאגים יכול להתמודד עם זה. הערה --- אפשרות זו היא בלבד
נתמך על ידי כמה יעדים, לא כולם.

--gdwarf-sections
במקום ליצור מקטע .debug_line, צור סדרה של .debug_line.Foo סעיפים
איפה Foo הוא השם של קטע הקוד המתאים. למשל קטע קוד
נקרא .text.func מידע על מספר הקו הגמד שלו ימוקם בקטע
נקרא .debug_line.text.func. אם קטע הקוד נקרא רק טקסט ואז לנפות באגים
קטע קו עדיין ייקרא רק ‎.debug_line ללא שום סיומת.

--size-check=שגיאה
--size-check=אזהרה
פרסם שגיאה או אזהרה על הוראת ELF .size לא חוקית.

- עזרה
הדפס סיכום של אפשרויות שורת הפקודה וצא.

--מטרה-עזרה
הדפס סיכום של כל האפשרויות הספציפיות ליעד וצא.

-I dir
הוסף ספרייה dir לרשימת החיפוש של הנחיות ".include".

-J אל תזהיר על הצפה חתומה.

-K פרסם אזהרות כאשר טבלאות הבדלים השתנו עבור תזוזות ארוכות.

-L
--לשמור-מקומיים
שמור (בטבלת הסמלים) סמלים מקומיים. סמלים אלה מתחילים עם מערכת ספציפית
קידומות של תוויות מקומיות, בדרך כלל .L למערכות ELF או L עבור מערכות a.out מסורתיות.

--listing-lhs-width=מספר
הגדר את הרוחב המקסימלי, במילים, של עמודת נתוני הפלט עבור רישום assembler
מספר.

--listing-lhs-width2=מספר
הגדר את הרוחב המרבי, במילים, של עמודת נתוני הפלט עבור קווי המשך פנימה
רישום assembler ל מספר.

--listing-rhs-width=מספר
הגדר את הרוחב המרבי של קו מקור קלט, כפי שמוצג ברשימה, ל מספר
בתים.

--listing-cont-lines=מספר
הגדר את המספר המרבי של שורות שמודפסות ברישום עבור שורת קלט בודדת
מספר + 1.

-o מטרה
תן שם לפלט קובץ האובייקט מ as מטרה.

-R מקפלים את קטע הנתונים לתוך קטע הטקסט.

--hash-size=מספר
הגדר את גודל ברירת המחדל של טבלאות הגיבוב של GAS למספר ראשוני קרוב ל מספר.
הגדלת ערך זה יכולה להפחית את משך הזמן שלוקח להרכבת לבצע
משימותיו, על חשבון הגדלת דרישות הזיכרון של האסמבלר.
באופן דומה הפחתת ערך זה יכולה להפחית את דרישות הזיכרון על חשבון
מְהִירוּת.

--הפחתת הוצאות זיכרון
אפשרות זו מפחיתה את דרישות הזיכרון של GAS, על חשבון ביצוע ההרכבה
תהליכים איטיים יותר. כרגע מתג זה הוא מילה נרדפת ל --hash-size=4051, אבל ב
בעתיד עשויות להיות לזה גם השפעות אחרות.

--שם-כתה-משנה
כבד רצפי החלפה בשמות המדורים.

--סטָטִיסטִיקָה
הדפס את השטח המרבי (בבתים) והזמן הכולל (בשניות) בשימוש בהרכבה.

--סטריפ-מקומי-אבסולוט
הסר סמלים מוחלטים מקומיים מטבלת הסמלים היוצאים.

-v
-הפך
הדפס את as הגירסה.

--גִרְסָה
הדפס את as גרסה ויציאה.

-W
--לא אזהרה
דחק הודעות אזהרה.

--אזהרות-קטלניות
התייחסו לאזהרות כאל שגיאות.

--לְהַזהִיר
אל תדחיק הודעות אזהרה ואל תתייחס אליהן כשגיאות.

-w התעלמו.

-x התעלמו.

-Z צור קובץ אובייקט גם לאחר שגיאות.

-- | קבצים ...
קלט רגיל, או קבצי מקור להרכבה.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מצב 64 סיביות של ARM
אדריכלות (AArch64).

-EB אפשרות זו מציינת שהפלט שנוצר על ידי האסמבלר צריך להיות מסומן כ
מקודד עבור מעבד גדול.

אפשרות זו מציינת שהפלט שנוצר על ידי האסמבלר צריך להיות מסומן כ
מקודד עבור מעבד קטן אנדיאן.

-מאבי=אבי
ציין באיזה ABI קוד המקור משתמש. הטיעונים המוכרים הם: "ilp32" ו
"lp64", שמחליט על קובץ האובייקט שנוצר בפורמט ELF32 ו-ELF64
בהתאמה. ברירת המחדל היא "lp64".

-mcpu=מעבד[+הארכה...]
אפשרות זו מציינת את מעבד היעד. האסמבלר יוציא הודעת שגיאה
אם נעשה ניסיון להרכיב הוראה שלא תתבצע על המטרה
מעבד. שמות המעבדים הבאים מזוהים: "cortex-a35", "cortex-a53",
"cortex-a57", "cortex-a72", "exynos-m1", "qdf24xx", "thunderx", "xgene1" ו-"xgene2".
השם המיוחד "הכל" עשוי לשמש כדי לאפשר למרכיב לקבל הוראות תקפות
עבור כל מעבד נתמך, כולל כל ההרחבות האופציונליות.

בנוסף לסט ההוראות הבסיסי, ניתן לומר להרכב לקבל, או
limit, זיכרון הרחבות שונות המרחיבות את המעבד.

אם למימושים מסוימים של מעבד מסוים יכולה להיות הרחבה, אז
ההרחבות הללו מופעלות באופן אוטומטי. כתוצאה מכך, בדרך כלל לא יהיה לך
כדי לציין הרחבות נוספות.

-מרץ=ארכיטקטורה[+הארכה...]
אפשרות זו מציינת את ארכיטקטורת היעד. האסמבלר יוציא שגיאה
הודעה אם נעשה ניסיון להרכיב פקודה שלא תבוצע ב-
ארכיטקטורת יעד. שמות הארכיטקטורה הבאים מוכרים: "armv8-a",
"armv8.1-a" ו-"armv8.2-a".

אם שניהם -mcpu ו -מרץ מצוינים, ההרכב ישתמש בהגדרה עבור -mcpu.
אם אף אחד מהם לא מצוין, ה-assembler יקבע כברירת מחדל -mcpu=הכל.

ניתן להרחיב את אפשרות הארכיטקטורה עם אותה הרחבה של ערכת הוראות
אפשרויות כמו ה -mcpu אוֹפְּצִיָה. בניגוד -mcpu, הרחבות לא תמיד מופעלות על ידי
ברירת מחדל

-mverbose-error
אפשרות זו מאפשרת הודעות שגיאה מילוליות עבור גז AArch64. אפשרות זו מופעלת על ידי
ברירת המחדל.

-שגיאה מפורשת
אפשרות זו משביתה הודעות שגיאה מילוליות בגז AArch64.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד אלפא.

-mמעבד
אפשרות זו מציינת את מעבד היעד. אם נעשה ניסיון להרכיב א
הוראה שלא תבוצע על מעבד היעד, האסמבלר יכול גם כן
הרחב את ההוראה כמאקרו או הודעת שגיאה. אפשרות זו היא
שווה ערך להנחיית ".arch".

שמות המעבדים הבאים מזוהים: 21064, "21064a", 21066, 21068, 21164,
"21164a", "21164pc", 21264, "21264a", "21264b", "ev4", "ev5", "lca45", "ev5", "ev56",
"pca56", "ev6", "ev67", "ev68". השם המיוחד "הכל" עשוי לשמש כדי לאפשר את
assembler לקבל הוראות תקפות עבור כל מעבד אלפא.

על מנת לתמוך בפרקטיקה הקיימת ב-OSF/1 ביחס ל".arch", וקיים
להתאמן בפנים MILO (מטען האתחול של Linux ARC), שמות המעבדים הממוספרים (למשל
21064) מאפשרים את הוראות ה-PALcode הספציפיות למעבד, בעוד שה-"electro-vlasic"
שמות (למשל "ev4") לא.

-mdebug
-לא-מדבאג
מפעיל או משבית את היצירה של אנקפסולציה ".mdebug" עבור הנחיות דקירות ו
מתארי נוהל. ברירת המחדל היא להפעיל אוטומטית את ".mdebug" כאשר
הנחיית הדקירות הראשונה נראית.

-לְהִרָגַע
אפשרות זו מאלצת את כל ההעברות להכניס לקובץ האובייקט, במקום לשמור
שטח ופתרון כמה רילוקיישן בזמן ההרכבה. שימו לב שאופציה זו לא
להפיץ את כל אריתמטיקה סמל לתוך קובץ האובייקט, כי לא כל סמל
ניתן לייצג חשבון. עם זאת, האפשרות עדיין יכולה להיות שימושית באופן ספציפי
יישומים.

-החלף
- במקום אחר
מאפשר או משבית את האופטימיזציה של קריאות לפרוצדורה, הן בהרכבה והן ב-
זמן קישור. אפשרויות אלה זמינות רק עבור יעדי VMS ו-"-replace" הוא ה-
בְּרִירַת מֶחדָל. ראה סעיף 1.4.1 במדריך OpenVMS Linker Utility.

-g אפשרות זו משמשת כאשר המהדר מייצר מידע באגים. מתי gcc משתמש
mips-tfile כדי ליצור מידע על ניפוי באגים עבור ECOFF, יש להעביר תוויות מקומיות
דרך לקובץ האובייקט. אחרת לאפשרות זו אין השפעה.

-Gגודל
סמל מקומי נפוץ גדול מ גודל ממוקם ב-".bss", בעוד שסמלים קטנים יותר כן
ממוקם ב-".sbss".

-F
-32addr
מתעלמים מהאפשרויות האלה בגלל תאימות לאחור.

האפשרויות הבאות זמינות כאשר הוא מוגדר עבור מעבד ARC.

-mcpu=מעבד
אפשרות זו בוחרת בגרסה של מעבד הליבה.

-EB |
בחר פלט big-endian (-EB) או little-endian (-EL).

-צפיפות mcode
אפשר הוראות הרחבת Code Density.

האפשרויות הבאות זמינות כשהן מוגדרות עבור משפחת מעבדי ARM.

-mcpu=מעבד[+הארכה...]
ציין איזו גרסת מעבד ARM היא היעד.

-מרץ=ארכיטקטורה[+הארכה...]
ציין באיזו וריאנט של ארכיטקטורת ARM משמש היעד.

-mfpu=פורמט נקודה צפה
בחר איזו ארכיטקטורת נקודה צפה היא היעד.

-mfloat-abi=אבי
בחר באיזו נקודה צפה ABI נמצאת בשימוש.

-אגודל
אפשר פענוח הוראות Thumb only.

-mapcs-32 | -mapcs-26 | -mapcs-float | -mapcs-reenrant
בחר באיזו מוסכמה של שיחות פרוצדורה נמצאת בשימוש.

-EB |
בחר פלט big-endian (-EB) או little-endian (-EL).

-מ-אגודל-עבודה
ציין שהקוד נוצר תוך עבודה בין קוד Thumb ו-ARM
בראש.

-mccs
מפעיל את מצב תאימות תחביר הרכבה CodeComposer Studio.

-k ציין שקוד PIC נוצר.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד Blackfin
מִשׁפָּחָה.

-mcpu=מעבד[-סירטוויזיון]
אפשרות זו מציינת את מעבד היעד. האופציונלי סירטוויזיון אינו משמש ב
מאסף. זה כאן כך ש-GCC יכול להעביר בקלות את האפשרות "-mcpu=" שלה. ה
assembler יוציא הודעת שגיאה אם ​​נעשה ניסיון להרכיב הוראה
אשר לא יבוצע על מעבד היעד. שמות המעבדים הבאים הם
מוכר: "bf504", "bf506", "bf512", "bf514", "bf516", "bf518", "bf522", "bf523",
"bf524", "bf525", "bf526", "bf527", "bf531", "bf532", "bf533", "bf534", "bf535" (לא
מיושם עדיין), "bf536", "bf537", "bf538", "bf539", "bf542", "bf542m", "bf544",
"bf544m", "bf547", "bf547m", "bf548", "bf548m", "bf549", "bf549m", "bf561", וכן
"bf592".

-mfdpic
הרכיבו עבור ה-FDPIC ABI.

-mno-fdpic
-מנופי
השבת -mfdpic.

עיין בדפי המידע לתיעוד של האפשרויות הספציפיות ל-CRIS.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד D10V.

-O בצע אופטימיזציה של הפלט על ידי מקביל להוראות.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד D30V.

-O בצע אופטימיזציה של הפלט על ידי מקביל להוראות.

-n התריע כאשר נוצרים nops.

-N אזהרה כאשר נוצרת ביטול לאחר הוראת כפל של 32 סיביות.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד Epiphany.

-מיפיפניה
מציין שההוראות של 32 ו-16 סיביות מותרות. זוהי ברירת המחדל
התנהגות.

-מיפיפניה16
מגביל את ההוראות המותרות ל-16 סיביות בלבד.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד H8/300.
@chapter H8/300 תכונות תלויות

אפשרויות
לגרסת Renesas H8/300 של "כמו" יש אפשרות אחת תלוית מכונה:

-h-tick-hex
תמיכה בקבועי hex בסגנון H'00 בנוסף לסגנון 0x00.

-מאך=שם
מגדיר את גרסת המכונה H8300. שמות המכונות הבאים מזוהים: "h8300h",
"h8300hn", "h8300s", "h8300sn", "h8300sx" ו-"h8300sxn".

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד i386.

- 32 | --x32 | - 64
בחר את גודל המילה, 32 סיביות או 64 סיביות. - 32 מרמז על Intel i386
אדריכלות, בעוד --x32 ו - 64 מרמז על ארכיטקטורת AMD x86-64 עם 32 סיביות או 64 סיביות
גודל מילה בהתאמה.

אפשרויות אלה זמינות רק עם פורמט קובץ האובייקט ELF, ומחייבות את ה
תמיכת BFD הכרחית נכללה (בפלטפורמת 32 סיביות יש להוסיף
--enable-64-bit-bfd כדי להגדיר לאפשר שימוש ב-64 סיביות ולהשתמש ב-x86-64 כיעד
פּלַטפוֹרמָה).

-n כברירת מחדל, x86 GAS מחליף מספר הוראות nop המשמשות ליישור בתוך קוד
קטעים עם הוראות nop מרובות בתים כגון leal 0(%esi,1),%esi. המתג הזה
משבית את האופטימיזציה.

--לחלק
בפלטפורמות שמקורן ב-SVR4, הדמות / מתייחסים אליו כאל תו הערה, אשר
פירושו שלא ניתן להשתמש בו בביטויים. ה --לחלק סיבובי אפשרות / אל
אופי רגיל. זה לא משבית / בתחילת שורה המתחילה א
להעיר, או להשפיע על השימוש # על פתיחת תגובה.

-מרץ=CPU[+הארכת...]
אפשרות זו מציינת את מעבד היעד. האסמבלר יוציא הודעת שגיאה
אם נעשה ניסיון להרכיב הוראה שלא תתבצע על המטרה
מעבד. שמות המעבדים הבאים מזוהים: "i8086", "i186", "i286",
"i386", "i486", "i586", "i686", "pentium", "pentiumpro", "pentiumii", "pentiumiii",
"pentium4", "prescott", "nocona", "core", "core2", "corei7", "l1om", "k1om", "iamcu",
"k6", "k6_2", "athlon", "opteron", "k8", "amdfam10", "bdver1", "bdver2", "bdver3",
"bdver4", "znver1", "btver1", "btver2", "generic32" ו-"generic64".

בנוסף לסט ההוראות הבסיסי, ניתן לומר להרכב לקבל מגוון
זיכרון הרחבות. לדוגמה, "-march=i686+sse4+vmx" מתרחב i686 עם 4 ו
vmx. ההרחבות הבאות נתמכות כעת: 8087, 287, 387, "no87", "mmx",
"nommx", "sse", "sse2", "sse3", "ssse3", "sse4.1", "sse4.2", "sse4", "nosse", "avx",
"avx2", "adx", "rdseed", "prfchw", "smap", "mpx", "sha", "prefetchwt1", "clflushopt",
"se1", "clwb", "pcommit", "avx512f", "avx512cd", "avx512er", "avx512pf", "avx512vl",
"avx512bw", "avx512dq", "avx512ifma", "avx512vbmi", "noavx", "vmx", "vmfunc", "smx",
"xsave", "xsaveopt", "xsavec", "xsaves", "aes", "pclmul", "fsgsbase", "rdrnd", "f16c",
"bmi2", "fma", "movbe", "ept", "lzcnt", "hle", "rtm", "invpcid", "clflush", "mwaitx",
"clzero", "lwp", "fma4", "xop", "cx16", "syscall", "rdtscp", "3dnow", "3dnowa",
"sse4a", "sse5", "svme", "abm" ו-"מנעול". שים לב שבמקום להרחיב את הבסיס
ערכת הוראות, זיכרון ההרחבה המתחיל ב-"לא" מבטלת את ההתאמה
פונקציונליות.

כאשר משתמשים בהנחיית ".arch" עם -מרץ, ההנחיה ".arch" תיקח
תקדים.

-mtune=CPU
אפשרות זו מציינת מעבד שיש לבצע עבורו אופטימיזציה. בשימוש בשילוב עם
-מרץ אפשרות, רק הוראות של המעבד שצוין על ידי -מרץ אפשרות תהיה
להיווצר.

תקף CPU הערכים זהים לרשימת המעבדים של -מרץ=CPU.

-msse2avx
אפשרות זו מציינת שהאסמבלר צריך לקודד הוראות SSE עם VEX
קידומת.

-msse-check=אף לא אחד
-msse-check=אזהרה
-msse-check=שגיאה
אפשרויות אלה קובעות אם ההרכב צריך לבדוק את הוראות SSE.
-msse-check=אף לא אחד יגרום להרכב לא לבדוק את הוראות SSE, שזהו
ברירת המחדל. -msse-check=אזהרה יגרום להרכב להנפיק אזהרה עבור כל SSE
הוראה. -msse-check=שגיאה יגרום להנפקת האסמבלר לשגיאה עבור כל SSE
הוראה.

-mavxscalar=128
-mavxscalar=256
אפשרויות אלו שולטות כיצד על האסמבלר לקודד הוראות AVX סקלריות.
-mavxscalar=128 יקודד הוראות AVX סקלריות באורך וקטור של 128 סיביות, אשר
הוא ברירת המחדל. -mavxscalar=256 יקודד הוראות AVX סקלריות עם 256bit
אורך וקטור.

-mevexlig=128
-mevexlig=256
-mevexlig=512
אפשרויות אלה שולטות כיצד על האסמבלר לקודד EVEX שהאורך (LIG) מתעלם ממנו
הוראות. -mevexlig=128 יקודד הוראות LIG EVEX עם וקטור 128bit
אורך, שהוא ברירת המחדל. -mevexlig=256 ו -mevexlig=512 יקודד LIG EVEX
הוראות עם אורך וקטור של 256bit ו-512bit, בהתאמה.

-mevexwig=0
-mevexwig=1
אפשרויות אלו שולטות כיצד על האסמבלר לקודד EVEX (WIG) EVEX
הוראות. -mevexwig=0 יקודד הוראות WIG EVEX עם evex.w = 0, כלומר
ברירת המחדל. -mevexwig=1 יקודד הוראות WIG EVEX עם evex.w = 1.

-מנמוניק =אל
-מנמוניק =אינטל
אפשרות זו מציינת הוראות זיכרון להוראות התאמת. ה
הנחיות ".att_mnemonic" ו-".intel_mnemonic" יקבלו תקדים.

-msyntax=אל
-msyntax=אינטל
אפשרות זו מציינת תחביר הוראות בעת עיבוד הוראות. ה
הנחיות ".att_syntax" ו-".intel_syntax" יקבלו תקדים.

-mnaked-reg
אפשרות זו מציינת שרשומים אינם דורשים א % קידומת. ".att_syntax" ו
הנחיות ".intel_syntax" יקבלו תקדים.

-madd-bnd-prefix
אפשרות זו מאלצת את האסמבלר להוסיף קידומת BND לכל הסניפים, גם אם כאלה
הקידומת לא צוינה במפורש בקוד המקור.

-mno-משותף
על יעד ELF, האסמבלר בדרך כלל מבצע אופטימיזציה של רילוקיישן שאינם PLT נגד
הגדירו יעדי סניפים גלובליים לא חלשים עם ברירת מחדל. ה -mshared אוֹפְּצִיָה
אומר לאסמבלר ליצור קוד שעשוי להיכנס לספרייה משותפת שבה כל הלא-
ניתן להקדים יעדי סניפים גלובליים חלשים עם ברירת מחדל. המתקבל
הקוד מעט יותר גדול. אפשרות זו משפיעה רק על הטיפול בסניף
הוראות.

-mbig-obj
ב-x86-64 PE/COFF target אפשרות זו מאלצת את השימוש בפורמט קובץ אובייקט גדול, אשר
מאפשר יותר מ-32768 קטעים.

-momit-lock-prefix=לא
-momit-lock-prefix=כן
אפשרויות אלה שולטות כיצד על ההרכב לקודד את קידומת המנעול. אפשרות זו היא
מיועד כפתרון עוקף למעבדים שנכשלים בקידומת הנעילה. אפשרות זו יכולה
יש להשתמש בבטחה רק עם מחשבים חד-ליבים עם חוט יחיד -momit-lock-prefix=כן
ישמיט את כל קידומות הנעילה. -momit-lock-prefix=לא יקודד קידומת נעילה כרגיל,
שהיא ברירת המחדל.

-mrelax-relocations=לא
-mrelax-relocations=כן
אפשרויות אלה קובעות אם ההרכב צריך ליצור רילוקיישן רגוע,
R_386_GOT32X, במצב 32 סיביות, או R_X86_64_GOTPCRELX ו-R_X86_64_REX_GOTPCRELX, ב
מצב 64 סיביות. -mrelax-relocations=כן ייצור רילוקיישן רגוע.
-mrelax-relocations=לא לא ייצור רילוקיישן רגוע. ברירת המחדל יכולה להיות
נשלט על ידי אפשרות תצורה --enable-x86-relax-relocations.

-mevexrcig=rn
-mevexrcig=rd
-mevexrcig=ru
-mevexrcig=rz
אפשרויות אלה שולטות כיצד על האסמבלר לקודד הוראות EVEX של SAE בלבד.
-mevexrcig=rn יקודד סיביות RC של הוראת EVEX עם 00, שהיא ברירת המחדל.
-mevexrcig=rd, -mevexrcig=ru ו -mevexrcig=rz יקודד הוראות EVEX ל-SAE בלבד
עם 01, 10 ו-11 סיביות RC, בהתאמה.

-mamd64
-מינטל64
אפשרות זו מציינת שהאסמבלר צריך לקבל רק AMD64 או Intel64 ISA ב
מצב 64 סיביות. ברירת המחדל היא לקבל את שניהם.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד Intel 80960.

-ACA | -ACA_A | -ACB | -ACC | -AKA | -AKB | -AKC | -AMC
ציין איזו גרסה של ארכיטקטורת 960 היא היעד.

-b הוסף קוד כדי לאסוף נתונים סטטיסטיים על סניפים שנלקחו.

-לא להירגע
אל תשנה הוראות השוואה והסניף עבור תזוזות ארוכות; שגיאה אם
נחוץ.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור סדרת Ubicom IP2K.

-mip2022ext
מציין שההוראות המורחבות של IP2022 מותרות.

-mip2022
משחזר את התנהגות ברירת המחדל, המגבילה את ההוראות המותרות רק ל
IP2022 בסיסיים.

האפשרויות הבאות זמינות כשהן מוגדרות עבור Renesas M32C ו-M16C
מעבדים.

-m32c
הרכבת הוראות M32C.

-m16c
הרכבת הוראות M16C (ברירת המחדל).

-לְהִרָגַע
אפשר תמיכה בהרגעות בזמן קישור.

-h-tick-hex
תמיכה בקבועי hex בסגנון H'00 בנוסף לסגנון 0x00.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור Renesas M32R (לשעבר
סדרת מיצובישי M32R).

--m32rx
ציין איזה מעבד במשפחת M32R הוא היעד. ברירת המחדל היא בדרך כלל ה
M32R, אבל אפשרות זו משנה אותו ל-M32RX.

--להזהיר-קונפליקטים-מפורשים-מקבילים or --Wp
הפק הודעות אזהרה כאשר נתקלים במבנים מקבילים מפוקפקים.

--אין-להזהיר-קונפליקטים-מפורשים-מקבילים or --Wnp
אל תיצור הודעות אזהרה כאשר נתקלים במבנים מקבילים מפוקפקים.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור סדרת Motorola 68000.

-l קיצור הפניות לסמלים לא מוגדרים, למילה אחת במקום שתיים.

-מ 68000 | -מ 68008 | -מ 68010 | -מ 68020 | -מ 68030
| -מ 68040 | -מ 68060 | -מ 68302 | -מ 68331 | -מ 68332
| -מ 68333 | -מ 68340 | -mcpu32 | -מ 5200
ציין איזה מעבד במשפחת 68000 הוא היעד. ברירת המחדל היא בדרך כלל ה
68020, אך ניתן לשנות זאת בזמן ההגדרה.

-מ 68881 | -מ 68882 | -mno-68881 | -mno-68882
למכונת המטרה יש (או אין) מעבד משותף בנקודה צפה. ברירת המחדל
הוא להניח מעבד משותף עבור 68020, 68030 ו-cpu32. למרות שה-68000 הבסיסי הוא
לא תואם ל-68881, ניתן לציין שילוב של השניים, מכיוון שהוא
אפשר לעשות אמולציה של הוראות המעבד עם המעבד הראשי.

-מ 68851 | -mno-68851
למכונת היעד יש (או אין) מעבד משותף של יחידת ניהול זיכרון. ה
ברירת המחדל היא להניח MMU עבור 68020 ומעלה.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד Altera Nios II.

-קטע-הרפיה
החלף ענפים מזוהים מחוץ לטווח ברצפי "jmp" יחסית למחשב כאשר
אפשרי. רצפי הקוד שנוצרו מתאימים לשימוש במיקום בלתי תלוי
קוד, אבל יש מגבלה מעשית על טווח הסניפים המורחב בגלל ה
אורך הרצפים. אפשרות זו היא ברירת המחדל.

-להירגע-הכל
החלף את הוראות הסניף שלא ניתן לקבוע שהן בטווח ואת כל הוראות השיחה
עם רצפים "jmp" ו-"callr" (בהתאמה). אפשרות זו מייצרת אבסולוט
רילוקיישן כנגד סמלי המטרה ואינו מתאים למיקום בלתי תלוי
קוד.

-לא להירגע
אין להחליף סניפים או שיחות.

-EB צור פלט גדול.

צור פלט נדיאני קטן. זוהי ברירת המחדל.

-מרץ=ארכיטקטורה
אפשרות זו מציינת את ארכיטקטורת היעד. האסמבלר מוציא הודעת שגיאה
אם נעשה ניסיון להרכיב הוראה שלא תתבצע על המטרה
ארכיטקטורה. שמות הארכיטקטורה הבאים מוכרים: "r1", "r2". ה
ברירת המחדל היא "r1".

האפשרויות הבאות זמינות כאשר הוא מוגדר עבור מעבד Meta.

"-mcpu=metac11"
צור קוד עבור Meta 1.1.

"-mcpu=metac12"
צור קוד עבור Meta 1.2.

"-mcpu=metac21"
צור קוד עבור Meta 2.1.

"-mfpu=metac21"
אפשר לקוד להשתמש בחומרת FPU של Meta 2.1.

עיין בדפי המידע לתיעוד של האפשרויות הספציפיות ל-MMIX.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד NDS32.

"-O1"
בצע אופטימיזציה לביצועים.

"-אוס"
בצע אופטימיזציה לחלל.

"-EL"
הפק מעט פלט נתונים אנדיאני.

"-EB"
הפק מעט פלט נתונים אנדיאני.

"-mpic"
צור PIC.

"-mno-fp-as-gp-relax"
דכא הרפיית fp-as-gp עבור קובץ זה.

"-mb2bb-relax"
אופטימיזציה של סניף גב אל גב.

"-מנו-כל-להירגע"
דחק כל הרפיה עבור הקובץ הזה.

"-מרץ= "
הרכבה לאדריכלות שיכול להיות v3, v3j, v3m, v3f, v3s, v2, v2j,
v2f, v2s.

"-baseline= "
הרכיבו לקו הבסיס שיכול להיות v2, v3, v3m.

"-mfpu-freg=FREG"
ציין תצורת FPU.

"0 8 SP / 4 אוגרי DP"
"1 16 SP / 8 אוגרי DP"
"2 32 SP / 16 אוגרי DP"
"3 32 SP / 32 אוגרי DP"
"-מאבי=אבי"
ציין גרסת abi יכול להיות v1, v2, v2fp, v2fpp.

"-m[no-]mac"
הפעל/השבת תמיכה בהוראות הכפל.

"-m[no-]div"
הפעל/השבת תמיכה בהוראות חלוקה.

"-m[no-]16bit-ext"
הפעל/השבת סיומת 16 סיביות

"-m[no-]dx-regs"
הפעל/השבת רישומי d0/d1

"-m[no-]perf-ext"
הפעל/השבת את הרחבת ביצועים

"-m[no-]perf2-ext"
הפעל/השבת את הרחבת ביצועים 2

"-m[no-]string-ext"
הפעל/השבת את הרחבת מחרוזת

"-m[no-]reduced-regs"
הפעל/השבת את האפשרות של תצורת רישום מופחת (GPR16).

"-m[no-]audio-isa-ext"
הפעל/השבת את הרחבת AUDIO ISA

"-m[no-]fpu-sp-ext"
הפעל/השבת את הרחבת FPU SP

"-m[no-]fpu-dp-ext"
הפעל/השבת את הרחבת FPU DP

"-m[no-]fpu-fma"
הפעל/השבת הוראות FPU התמזגות-הכפלה-הוספה

"-קניון-שלוחה"
הפעל את כל התוספים והתמיכה בהוראות

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד PowerPC.

-A32
צור ELF32 או XCOFF32.

-A64
צור ELF64 או XCOFF64.

-K PIC
הגדר EF_PPC_RELOCATABLE_LIB בדגלי ELF.

-mpwrx | -mpwr2
צור קוד עבור POWER/2 (RIOS2).

-mpwr
צור קוד עבור POWER (RIOS1)

-מ 601
צור קוד עבור PowerPC 601.

-mppc, -mppc32, -מ603, -מ 604
צור קוד עבור PowerPC 603/604.

-מ403, -מ 405
צור קוד עבור PowerPC 403/405.

-מ 440
צור קוד עבור PowerPC 440. BookE וכמה הוראות 405.

-מ 464
צור קוד עבור PowerPC 464.

-מ 476
צור קוד עבור PowerPC 476.

-מ7400, -מ7410, -מ7450, -מ 7455
צור קוד עבור PowerPC 7400/7410/7450/7455.

-m750cl
צור קוד עבור PowerPC 750CL.

-מ821, -מ850, -מ 860
צור קוד עבור PowerPC 821/850/860.

-mppc64, -מ 620
צור קוד עבור PowerPC 620/625/630.

-500, -me500x2
צור קוד עבור מתחם הליבה של Motorola e500.

-me500mc
צור קוד עבור מתחם הליבה של Freescale e500mc.

-me500mc64
צור קוד עבור קומפלקס הליבה של Freescale e500mc64.

-אני 5500
צור קוד עבור קומפלקס הליבה של Freescale e5500.

-אני 6500
צור קוד עבור קומפלקס הליבה של Freescale e6500.

-mspe
צור קוד עבור הוראות Motorola SPE.

-מיטטן
צור קוד עבור קומפלקס הליבה של AppliedMicro Titan.

-mppc64bridge
צור קוד עבור PowerPC 64, כולל תוספות גשר.

-mbooke
צור קוד עבור BookE 32 סיביות.

-ma2
צור קוד לארכיטקטורת A2.

-אני 300
צור קוד עבור משפחת PowerPC e300.

-maltivec
צור קוד למעבדים עם הוראות AltiVec.

-mvle
צור קוד עבור הוראות Freescale PowerPC VLE.

-mvsx
צור קוד למעבדים עם הוראות Vector-Scalar (VSX).

-mhtm
צור קוד למעבדים עם הוראות זיכרון טרנזקציונלי של חומרה.

-mpower4, -mpwr4
צור קוד עבור ארכיטקטורת Power4.

-mpower5, -mpwr5, -mpwr5x
צור קוד עבור ארכיטקטורת Power5.

-mpower6, -mpwr6
צור קוד עבור ארכיטקטורת Power6.

-mpower7, -mpwr7
צור קוד עבור ארכיטקטורת Power7.

-mpower8, -mpwr8
צור קוד עבור ארכיטקטורת Power8.

-mpower9, -mpwr9
צור קוד עבור ארכיטקטורת Power9.

-mcell
-mcell
צור קוד עבור ארכיטקטורת Cell Broadband Engine.

-mcom
צור קוד Power/PowerPC הוראות נפוצות.

-רב
צור קוד עבור כל ארכיטקטורה (PWR/PWRX/PPC).

-מרגשמות
אפשר שמות סמליים לרשמים.

-mno-regnames
אל תאפשר שמות סמליים לרישומים.

-ניתן להזזה
תמיכה באפשרות -mrelocatable של GCC.

-mrelocatable-lib
תמיכה באפשרות -mrelocatable-lib של GCC.

-חבר
הגדר סיביות PPC_EMB בדגלי ELF.

-מעט, -קטן-אנדיאן, -לה
צור קוד עבור מכונה אנדיאנית קטנה.

-מביג, -מביג-אנדיאן, -לִהיוֹת
צור קוד עבור מכונת אנדיאנית גדולה.

-msolaris
צור קוד עבור Solaris.

-mno-solaris
אל תיצור קוד עבור Solaris.

-לא=לספור
אם הוראת יישור מכניסה יותר מ לספור לא, שים ענף בהתחלה
כדי לדלג על ביצוע ה-nops.

עיין בדפי המידע לתיעוד של האפשרויות הספציפיות ל-RX.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור משפחת המעבדים s390.

-מ 31
-מ 64
בחר את גודל המילה, 31/32 סיביות או 64 סיביות.

-מסה
-מזרך
בחר את מצב הארכיטקטורה, או את ארכיטקטורת המערכת הארגונית (esa) או את
z/מצב אדריכלות (zarch).

-מרץ=מעבד
ציין איזו גרסת מעבד s390 היא היעד, g6, g6, z900, z990, z9-109, z9-ec,
z10, z196, zEC12, או z13.

-מרגשמות
-mno-regnames
אפשר או אסור שמות סמליים עבור אוגרים.

-mwarn-areg-XNUMX
התריע בכל פעם שצוין האופרנד עבור בסיס או אינדקס אוגר אבל
מעריכה עד אפס.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד TMS320C6000.

-מרץ=קשת
אפשר (בלבד) הוראות מארכיטקטורה קשת. כברירת מחדל, כל ההוראות הן
מותר.

הערכים הבאים של קשת מתקבלים: "c62x", "c64x", "c64x+", "c67x", "c67x+",
"c674x".

-mdsbt
-mno-dsbt
אל האני -mdsbt option גורמת להרכב ליצור את התכונה "Tag_ABI_DSBT" עם a
ערך של 1, המציין שהקוד משתמש בכתובת DSBT. ה -mno-dsbt אוֹפְּצִיָה,
ברירת המחדל, גורמת לתג להיות בעל ערך של 0, מה שמציין שאין לקוד
השתמש בכתובת DSBT. המקשר יפלוט אזהרה אם חפצים מסוג אחר
(DSBT ולא DSBT) מקושרים יחד.

-mpid=לא
-mpid=ליד
-mpid=רחוק
אל האני -mpid= option גורמת להרכב ליצור את התכונה "Tag_ABI_PID" עם a
ערך המציין את צורת הפניית הנתונים המשמשת את הקוד. -mpid=לא, ברירת המחדל,
מציין כתובת נתונים תלוית מיקום, -mpid=ליד מציין מיקום-
כתובת עצמאית עם גישה של GOT באמצעות כתובת ליד DP, ו -mpid=רחוק
מציין כתובת בלתי תלויה במיקום עם גישה של GOT באמצעות כתובת DP רחוקה.
המקשר יפלוט אזהרה אם אובייקטים שנבנו עם הגדרות שונות של אפשרות זו
מקושרים יחד.

-mpic
-מנו-תמונה
אל האני -mpic option גורמת ל-assembler ליצור את התכונה "Tag_ABI_PIC" עם a
ערך של 1, המציין שהקוד משתמש בכתובת קוד בלתי תלויה במיקום,
האפשרות "-mno-pic", ברירת המחדל, גורמת לתג להיות בעל ערך של 0, המציין
כתובת קוד תלוית מיקום. המקשר יפלוט אזהרה אם חפצים של
סוגים שונים (תלויי עמדה ובלתי תלויים בעמדה) מקושרים יחד.

-mbig-endian
-מעט-אנדיאן
צור קוד עבור הקצה שצוין. ברירת המחדל היא little-endian.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד TILE-Gx.

-מ 32 | -מ 64
בחר את גודל המילה, 32 סיביות או 64 סיביות.

-EB |
בחר את ה-endianness, או big-endian (-EB) או little-endian (-EL).

האפשרות הבאה זמינה כאשר היא מוגדרת עבור מעבד Visium.

-mtune=קשת
אפשרות זו מציינת את ארכיטקטורת היעד. אם נעשה ניסיון להרכיב א
הוראה שלא תבוצע על ארכיטקטורת היעד, האסמבלר יוציא
הודעת שגיאה.

השמות הבאים מוכרים: "mcm24" "mcm" "gr5" "gr6"

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד Xtensa.

--מקטע-טקסט מילולי | --ללא קטע-טקסט-מילוליות
שליטה בטיפול בבריכות תרתי משמע. ברירת המחדל היא --ללא קטע-טקסט-מילוליות,
מה שמציב מילים בקטעים נפרדים בקובץ הפלט. זה מאפשר את
מאגר מילולי שיש למקם ב-RAM/ROM נתונים. עם --מקטע-טקסט מילולי, ה
מילוליות מפוזרות בקטע הטקסט כדי לשמור אותם קרובים כמו
אפשרי להפניות שלהם. זה עשוי להיות נחוץ עבור קבצי הרכבה גדולים, שם
אחרת, המילולים יהיו מחוץ לטווח של הוראות "L32R" בטקסט
סָעִיף. מילוליות מקובצות במאגרים בעקבות הנחיות ".literal_position" או
לפני הוראות "כניסה". אפשרויות אלה משפיעות רק על מילים המתייחסות דרך PC-
הוראות "L32R" יחסיות; מילוליות עבור מצב אבסולוטי "L32R" הוראות הן
מטופלים בנפרד.

--ליטפולים אוטומטיים | --ללא ליטפולים אוטומטיים
שליטה בטיפול בבריכות תרתי משמע. ברירת המחדל היא --ללא ליטפולים אוטומטיים, אשר ב
היעדר של --מקטע-טקסט מילולי מציב מילים בקטעים נפרדים ב-
קובץ פלט. זה מאפשר למקם את המאגר המילולי ב-RAM/ROM נתונים. עם
--ליטפולים אוטומטיים, המילולים משובצים בקטע הטקסט על מנת לשמור
אותם קרוב ככל האפשר להפניות שלהם, הנחיות מפורשות ".literal_position".
אינם נדרשים. זה עשוי להיות נחוץ עבור פונקציות גדולות מאוד, כאשר יחיד
בריכה מילולית בתחילת הפונקציה לא תהיה נגישה באמצעות "L32R"
הוראות בסוף. אפשרויות אלה משפיעות רק על מילים המתייחסות דרך PC-
הוראות "L32R" יחסיות; מילוליות עבור מצב אבסולוטי "L32R" הוראות הן
מטופלים בנפרד. בשימוש יחד עם --מקטע-טקסט מילולי, --ליטפולים אוטומטיים
מקבל עדיפות.

--מילוליים-מוחלטים | --ללא מילוליות מוחלטות
ציין בפני האסמבלר אם הוראות "L32R" משתמשות באופן מוחלט או ביחס למחשב
פְּנִיָה. אם המעבד כולל את אפשרות הכתובת המוחלטת, ברירת המחדל היא
להשתמש בהעברות "L32R" מוחלטות. אחרת, רק ה-PC-יחסי "L32R"
ניתן להשתמש ברילוקיישן.

--יישור יעד | --ללא יישור יעד
הפעל או השבת יישור אוטומטי כדי להפחית את עונשי הענף בהוצאות מסוימות
גודל קוד. אופטימיזציה זו מופעלת כברירת מחדל. שימו לב שההרכב יעשה זאת
תמיד יישר הוראות כמו "LOOP" שיש להן דרישות יישור קבועות.

--שיחות ארוכות | --ללא שיחות ארוכות
הפעל או השבת את השינוי של הוראות שיחה כדי לאפשר שיחות על פני יותר
מגוון כתובות. יש להשתמש באפשרות זו כאשר ייתכנו יעדי שיחות
מחוץ לטווח. זה עשוי לפגום גם בגודל הקוד וגם בביצועים, אבל המקשר יכול
בדרך כלל בצע אופטימיזציה של התקורה המיותרת כאשר שיחה מסתיימת בטווח.
ברירת המחדל היא --ללא שיחות ארוכות.

--שינוי צורה | --ללא טרנספורמציה
הפעל או השבת את כל טרנספורמציות ה-assembler של הוראות Xtensa, כולל שתיהן
הרפיה ואופטימיזציה. ברירת המחדל היא --שינוי צורה; --ללא טרנספורמציה צריך רק
יש להשתמש במקרים נדירים כאשר ההוראות חייבות להיות בדיוק כפי שצוין ב-
מקור הרכבה. באמצעות --ללא טרנספורמציה גורם לאופרנדים של הוראות מחוץ לטווח
שגיאות.

--שנה שם-סעיף שם ישן=שם חדש
שנה את שמו שם ישן קטע ל שם חדש. ניתן להשתמש באפשרות זו מספר פעמים
שנה שם של חלקים מרובים.

--טרמפולינות | --ללא טרמפולינות
אפשר או השבת טרנספורמציה של הוראות קפיצה כדי לאפשר קפיצות על פני גדול יותר
מגוון כתובות. יש להשתמש באפשרות זו כאשר מטרות קפיצה יכולות להיות
מחוץ לטווח. בהיעדר קפיצות כאלה אפשרות זו אינה משפיעה על גודל הקוד או
ביצועים. ברירת המחדל היא --טרמפולינות.

האפשרויות הבאות זמינות כאשר היא מוגדרת עבור מעבד משפחת Z80.

-z80
הרכב למעבד Z80.

-r800
הרכב למעבד R800.

-התעלם מהוראות-לא מתועדות
-נוד
הרכיבו הוראות Z80 לא מתועדות שפועלות גם על R800 ללא אזהרה.

-התעלם מהוראות-לא ניידות
-Wnup
הרכיב את כל הוראות ה-Z80 הלא מתועדות ללא אזהרה.

-להזהיר הוראות לא מתועדות
-ווד
פרסם אזהרה עבור הוראות Z80 לא מתועדות שפועלות גם על R800.

-הזהיר-הנחיות-לא ניידות
-וואפ
פרסם אזהרה עבור הוראות Z80 לא מתועדות שאינן פועלות על R800.

-אסרו-לא מתועדות-הוראות
-פאד
התייחס לכל ההוראות הלא מתועדות כשגיאות.

-אסרו-לא ניידות-הוראות
-פוף
התייחס להוראות Z80 לא מתועדות שאינן עובדות על R800 כשגיאות.

השתמש ב-aarch64-linux-gnu-as באינטרנט באמצעות שירותי onworks.net


שרתים ותחנות עבודה בחינם

הורד אפליקציות Windows & Linux

  • 1
    wxPython
    wxPython
    קבוצה של מודולי הרחבה של Python ש
    לעטוף את שיעורי GUI חוצי הפלטפורמות
    wxWidgets.. קהל: מפתחים. מִשׁתַמֵשׁ
    ממשק: X Windows System (X11), Win32 ...
    הורד את wxPython
  • 2
    packfilemanager
    packfilemanager
    זהו מנהל הקבצים של חבילת Total War
    פרויקט, החל מגרסה 1.7. א
    היכרות קצרה עם Warscape
    מודינג:...
    הורד את packfilemanager
  • 3
    IPerf2
    IPerf2
    כלי תעבורת רשת למדידה
    ביצועי TCP ו-UDP עם מדדים
    סביב תפוקה והשהייה כאחד. ה
    היעדים כוללים שמירה על פעילות פעילה
    קוד iperf...
    הורד את IPerf2
  • 4
    fre:ac - ממיר שמע בחינם
    fre:ac - ממיר שמע בחינם
    fre:ac הוא ממיר שמע ותקליטור בחינם
    מרטש לפורמטים ומקודדים שונים.
    הוא כולל MP3, MP4/M4A, WMA, Ogg
    פורמט Vorbis, FLAC, AAC ו-Bonk
    תמיכה, ...
    הורד fre:ac - ממיר שמע בחינם
  • 5
    מטפלוטליב
    מטפלוטליב
    Matplotlib היא ספרייה מקיפה
    ליצירת סטטי, אנימציה ו
    הדמיות אינטראקטיביות ב- Python.
    Matplotlib עושה דברים קלים ו
    דבר קשה...
    הורד את Matplotlib
  • 6
    בוטמן
    בוטמן
    כתוב את הלוגיקה של הצ'אטבוט שלך פעם אחת ו
    חבר אותו לאחד מהזמינים
    שירותי הודעות, כולל אמזון
    Alexa, Facebook Messenger, Slack,
    טלגרם או אפילו אתה...
    הורד את BotMan
  • עוד »

פקודות לינוקס

Ad