英语法语西班牙语

Ad


OnWorks 网站图标

gvhdl - 云端在线

通过 Ubuntu Online、Fedora Online、Windows 在线模拟器或 MAC OS 在线模拟器在 OnWorks 免费托管服务提供商中运行 gvhdl

这是 gvhdl 命令,可以使用我们的多个免费在线工作站之一在 OnWorks 免费托管服务提供商中运行,例如 Ubuntu Online、Fedora Online、Windows 在线模拟器或 MAC OS 在线模拟器

程序:

您的姓名


gvhdl - VHDL 编译器/模拟器 FreeHDL 的前端。

概要


高密度脂蛋白 [OPTION] ... [VHDL_文件] ... [对象文件] ...

商品描述


自由HDL 是硬件描述语言 VHDL 的编译器/模拟器套件。 VHDL'93
以及支持 VHDL'87 标准。

自由HDL 将原始 VHDL 源文件转换为 C++。 然后,C++源代码可以是
编译并链接到内核以构建仿真程序。 开始生成
可执行文件将模拟相应的 VHDL 模型。 实际的构建过程
从 VHDL 源生成模拟器是一个复杂的过程,由
高密度脂蛋白 脚本。

VHDL_文件 是必须以 .vhdl 或 .vhd 结尾的 VHDL 源文件名列表。 首先
VHDL 文件名还决定了仿真器可执行文件的名称。 这是,最后
可执行文件将以第一个不带 .vhdl 或 .vhd 扩展名的 VHDL 文件命名。
请注意,目标文件和模拟器将在当前创建
目录。

对象文件 指定链接到模拟器可执行文件的目标文件列表。
高密度脂蛋白 将所有以 .o 结尾的文件视为目标文件。 通常,这些对象
文件是先前(使用选项 -c)从 VHDL 源代码中生成的
编译步骤。

配置


-L VHDLIB
VHDL 库根目录的路径。 在这个目录中,编译器搜索
一个名为 v2cc.libs. 映射文件 v2cc.libs 翻译库单元名称
到目录。 请注意,可以提供多个 VHDLLIB。

-g 向可执行文件添加调试信息。 详细地说,此选项关联
生成的机器代码到 VHDL 源文件中的相应行。

-G 向可执行文件添加调试信息,但不将机器代码与
VHDL 源代码行。 该选项实际上用于调试生成的 C++ 代码。

-c 不要生成模拟器可执行文件。 使用这个选项,编译器翻译
VHDL 源代码转换为可执行文件并将它们编译为目标代码,但不会
生成最终的模拟器可执行文件。 这个选项对编译特别有用
VHDL 软件包。

-l 库名
将 VHDL 源代码与 VHDL 库 LIBNAME 相关联。 默认为库名
工作被使用。 如果 VHDL 组件来自多个
VHDL 库应构建到模拟器中。 请注意,为了
成功使用当前工作库以外的 VHDL 组件,
编译器必须使用机制找到相应的 VHDL 文件
v2cc.libs 中描述。 此外,组件必须使用适当的编译
-l LIBNAME 选项。

--宽松的组件可见性
允许来自不可见的默认绑定 工作.

--libiee
将 IEEE 标准库文件添加到仿真可执行文件中。

环境 变数

V2CC_LIBRARY_PATH
变量 V2CC_LIBRARY_PATH 由“:”分隔的文件名组成。 此外
环境变量,您可以使用“-L libdir”命令行选项
v2cc。 用“-L”指定的目录添加在指定目录的前面
通过 V2CC_LIBRARY_PATH。 在最终的库路径中,它们的出现顺序与
在命令行上。

支持的 VHDL 子集


目前,FreeHDL 不支持整个 VHDL'93 标准。 以下不全
列表概述了当前不支持的内容:

- 不支持复合类型形式的单独关联。

- 不支持共享变量。

- 不支持属性事务、安静、稳定和延迟。

- 不支持用户定义的属性。

- 不支持组。

- 不支持保护信号分配。

- 不支持配置。

- 目前,驱动程序无法关闭。

示例


使用

gvhdl -c 加法器.vhdl

为 adder.vhdl 构建目标文件。 注意 adder.vhdl 可能包含几个 VHDL
楷模。

gvhdl加法器.vhdl

将生成一个模拟器 最后 在 adder.vhdl 中找到 VHDL 模型。 然而,在这个
在这种情况下,构建模拟器所需的所有 VHDL 组件都必须包含在
VHDL 源文件。

gvhdl top.vhdl adder.o --libieee

通过在 top.vhdl 中编译所有模型,为在 top.vhdl 中找到的最后一个 VHDL 模型生成一个模拟器
top.vhdl 和链接(之前生成的)adder.o 目标文件和 IEEE 标准
库到可执行文件。

gvhdl -c -l mylib 加法器.vhdl

将构建一个目标文件,包括 adder.vhdl 中提供的所有组件。 然而,在
在这种情况下,组件将与库 mylib 而不是默认关联
库名工作。 请注意,选项 -l 只会影响生成的 C++ 源代码,但
不会改变目标文件或可执行文件的存储位置。

模拟 指令


模拟器启动后,将打印可用命令的简短摘要
到屏幕:

C : 执行周期 = 执行模拟周期
n : next = 执行下一个模拟循环
q : 退出 = 退出模拟
r : 运行 = 执行模拟
d : 转储 = 转储信号
doff : dump off = 停止倾倒信号
唐:转储=继续转储信号
s : show = 显示信号值
dv : dump var = 从信号列表中转储一个信号
ds : dump show = 显示转储信号列表
nds : number show = 显示转储信号的数量
直流 [-f ] [-t ] [-cfg ] [-q]
: 配置转储过程

请注意,信号以 VCD 格式转储到文件中(默认文件名为“wave.dmp”)。
每个 VCD 波形查看器都应接受此文件格式。 文件名设置为
“wave.dmp”但可以使用“dc -f”更改”。但是,请确保执行
执行“d”之前的“dc -f ...”。

模拟器 指挥 LINE 配置


可以通过命令行参数'-cmd "cmd1; cmd2; ..."' 来控制模拟,其中
'cmd1', 'cmd2', ... 是上一节中描述的模拟命令。 笔记
每个命令必须用';'分隔。 例如,执行

./top -cmd "d;run 1000 ns;q;"

将启动仿真程序“top”,转储所有信号并运行仿真 1000 ns。
最后,模拟结束。 实际上,最后一个命令 'q;' 是可选的
执行完最后一个命令后,模拟器会自动终止。

使用 onworks.net 服务在线使用 gvhdl


免费服务器和工作站

下载 Windows 和 Linux 应用程序

Linux 命令

Ad